前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >干货:Vivado 直接修改RAM初始化文件,避免重新综合、实现的方法

干货:Vivado 直接修改RAM初始化文件,避免重新综合、实现的方法

作者头像
网络交换FPGA
发布2019-10-29 17:52:25
3.8K0
发布2019-10-29 17:52:25
举报
文章被收录于专栏:网络交换FPGA网络交换FPGA

1、引言

以交换机设计为例。在交换机设计前期,转发表项是固化在交换机内部的(给FPGA片内BRAM初始值),但是在测试过程中,往往需要对表项进行修改,如果直接修改BRAM的coe文件,则需要重新综合、实现、生成bit文件,其中,综合与实现耗时十分严重,设计规模越大,消耗的时间越长,而生成bit文件消耗的时间则相对固定。针对上述问题,本文探究一种避免综合与实现,直接修改BRAM初始化值的方法,可以避免综合、实现两个步骤,修改BRAM初始值后,直接生成bit文件,可节约大量的时间。

2、 操作方法

(1) 完成初始设计,综合、实现(但是不生成bit文件) ; (2) 打开布线后的dcp文件(使用Vivado直接打开),如下图所示:

(3) 找到想修改的目标BRAM: <3.1> ctrl+f ;

<3.2> 选择需要修改的BRAM ;

<3.3> 在properties界面,可以直接修改初始化文件(需要熟悉原语,其初始化方式与RAMB18E1相同);

<3.4>保存,退出; <3.5>在主工程中打开实现设计,按照相同的方式可以找到对应的RAM与初始化值,可以发现初始化值已经改变了 ; <3.6> 生成bitstream文件。

3、 上板实测

(1) coe文件:

(2) 修改后的RAM初始化值

(3) 上板实测结果:

4、 结论

在仅修改BRAM初始化值的场景下,该方法可以大大减小从修改BRAM初始值到重新生成bitstream的时间,在交换机调试过程中,具有一定实用价值。

作者:西电ISN国家重点实验室网络与交换团队博士生刘欢。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-07-19,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 网络交换FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 1、引言
  • 2、 操作方法
  • 3、 上板实测
  • 4、 结论
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档