前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >【超实用】一分钟学会如何用最小存储空间保存Vivado工程!

【超实用】一分钟学会如何用最小存储空间保存Vivado工程!

作者头像
网络交换FPGA
发布2020-04-21 10:44:35
1.9K0
发布2020-04-21 10:44:35
举报
文章被收录于专栏:网络交换FPGA网络交换FPGA

在平常调试FPGA的过程中,大家会发现Vivado工程动辄数百兆大小,甚至几个G都很常见。如果调试的版本过多,就连几个T的硬盘也不够用。怎么办呢?其实,Vivado自带了一种使用tcl命令保存vivado工程为.tcl脚本的存储方法,几百兆的工程只需要几百K的大小就可以保存了。

VIVADO工程目录中包含很多中间生成文件,这导致一个工程大小普遍在几百MB甚至GB级别,在我们保存工程备份或者使用Git的时候是很不方便的。好在VIVADO为我们提供了大量的脚本,我们可以只保留工程的源码和一个脚本,在需要恢复工程时使用tcl恢复VIVADO工程。

生成VIVADOtcl的方法有两种:

1、使用tcl命令:在打开的vivado工程中,在tcl命令输入行,输入如下命令,write_project_tcl { ~/work/system.tcl},即可把工程保存成.tcl文件。其中~/work/是.tcl文件保存的路径,可根据实际使用的需要更改,system.tcl是保存的文件名。

2、使用GUI操作:在打开的vivado工程中,依次点击 File —》 Write Project to Tcl ,在Write Project To Tcl 界面设置相关参数(主要是tcl文件的保存路径和文件名),即可把工程保存成.tcl文件。

恢复VIVADO工程时,回到tcl对应的目录,在Linux系统下的VIVADO中source对应的tcl文件,就可以恢复工程,下面以GUI的方式简单介绍一下。

恢复工程操作方法

1、拿到tcl形式的VIVADO工程

如下图所示,此时工程中只有一个脚本和src文件夹,其中包括了设计文件和仿真文件。其大小不过几十个KB。

2、启动VIVADO,切换工作目录到tcl对应的目录。

回车后启动该命令,VIVADO就会根据tcl的指示恢复该工程。

3、工程恢复成功

生成Tcl工程操作

1、在VIVADO19.2下选择File->Project->Write TCL

2、配置tcl名称和路径

3、将SRC和tcl以外的不需要的文件删除,保存即可。

注意事项

1、在Windows下跨盘符是需要使用(cd E:)这样的命令。

2、对VIVADO的版本要求一致。

全文完。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-04-07,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 网络交换FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 恢复工程操作方法
  • 生成Tcl工程操作
  • 注意事项
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档