前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Modelsim 安装步骤详解

Modelsim 安装步骤详解

作者头像
全栈程序员站长
发布2022-08-25 10:45:39
2.2K0
发布2022-08-25 10:45:39
举报
文章被收录于专栏:全栈程序员必看

大家好,又见面了,我是你们的朋友全栈君。

Modelsim 安装步骤详解

目录

本篇文章主要对Modelsim SE版本安装步骤的介绍。

一、modelsim简述及下载

1、简介及特点

简介

modelsim是Mentor公司开发的优秀的HDL语言仿真软件。 它能提供友好的仿真环境,采用单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快且编译的代码与平台无关。

特点

  • RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;
  • 单内核VHDL和Verilog混合仿真;
  • 源代码模版和助手,项目管理;
  • 集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;
  • C和Tcl/Tk接口,C调试;
  • 对SystemC的直接支持,和HDL任意混合;
  • 支持SystemVerilog的设计功能;
  • 对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL;
  • ASIC Sign off。
  • 可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码。

2、modelsim版本

ModelSim有几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本,而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。

SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。

ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。

3、modelsim下载

百度网盘链接: https://pan.baidu.com/s/1vaMfrHw4IfamREKiVAsSGw . 提取码:82eh

二、安装步骤详解

解压下载的文件

在这里插入图片描述
在这里插入图片描述

双击运行应用程序,进入安装导向界面

在这里插入图片描述
在这里插入图片描述

安装导向界面,点击next

在这里插入图片描述
在这里插入图片描述

自定义安装路径

在这里插入图片描述
在这里插入图片描述

选择agree

在这里插入图片描述
在这里插入图片描述

等待安装

在这里插入图片描述
在这里插入图片描述

弹出提示,创建快捷方式在桌面

在这里插入图片描述
在这里插入图片描述

添加路径

在这里插入图片描述
在这里插入图片描述

选择No

在这里插入图片描述
在这里插入图片描述

安装完成

在这里插入图片描述
在这里插入图片描述

三、注册简述

在软件安装目录中找到mgls64.dll文件

在这里插入图片描述
在这里插入图片描述

右击文件,选择属性,取消只读属性

在这里插入图片描述
在这里插入图片描述

将MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录的win32或win64下

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

运行patch_dll.bat,会生成license文件,将license文件放到任意目录下

在这里插入图片描述
在这里插入图片描述

注:运行patch_dll.bat生成license文件后会自动用记事本打开license.txt.生成的license.txt默认存放在C:\Users\user\AppData\Local\Temp

设置环境变量名MGLS_LICENSE_FILE, 变量值为license放置的路径

在这里插入图片描述
在这里插入图片描述

这样就完成了。 资料补充: modelsim补充文档 提取码:zxr0

四、总结与参考资料

1、总结

本篇文章主要介绍modelsim的安装以及注册步骤,有关使用方法会在后面的学习中慢慢介绍。

2、参考资料

【FPGA——工具篇】:Modelsim SE-64 10.4下载、破解、安装过程. Modelsim10.5安装教程.

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/142119.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2022年5月1,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • Modelsim 安装步骤详解
  • 目录
  • 一、modelsim简述及下载
    • 1、简介及特点
      • 2、modelsim版本
        • 3、modelsim下载
        • 二、安装步骤详解
        • 三、注册简述
        • 四、总结与参考资料
          • 1、总结
            • 2、参考资料
            相关产品与服务
            项目管理
            CODING 项目管理(CODING Project Management,CODING-PM)工具包含迭代管理、需求管理、任务管理、缺陷管理、文件/wiki 等功能,适用于研发团队进行项目管理或敏捷开发实践。结合敏捷研发理念,帮助您对产品进行迭代规划,让每个迭代中的需求、任务、缺陷无障碍沟通流转, 让项目开发过程风险可控,达到可持续性快速迭代。
            领券
            问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档