前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

作者头像
全栈程序员站长
发布2022-11-03 17:29:55
4.7K0
发布2022-11-03 17:29:55
举报

目录

1.首先下载软件以及文件

2.安装软件

3.软件

4.例程测试

5.新建原理图


1.首先下载软件以及文件

链接:https://pan.baidu.com/s/1qGtGchiD0gXn_3b2ZRRGiA 提取码:ofss

下载完如下图所示:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

解压QuertusII 9.0的压缩包。

2.安装软件

2.1.点击setup.exe

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.2.接受条款

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.3.填写信息

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.4.选择安装路径

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.5.下一步:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

备注:这个可以随便选

2.6.下一步

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.7.下一步:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.8.安装中,等待几分钟:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.9.安装完成后桌面一个图标,点击图标进入软件:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.10.进入软件,弹窗,选择OK

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.11.又一个弹窗,OK

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

2.12.可以看到这个软件的使用年限已经过期了:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

3.打开软件

3.1.打开tool–>License Setup查看网卡地址

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

3.2.打开下载好的文件,使用记事本打开license.DAT文件进行编辑:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

替换后如下:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

3.3.把修改后的license.DAT文件复制到软件安装目录下:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

3.4.修改license的路径,改成我们刚刚放置License的路径:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

3.5.将文件bin32文件夹中的sys_cpt.dll复制到安装目录的quartus的bin目录下:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

找到软件安装目录,然后找到quartus/bin,粘贴:

注意:先暂时关闭软件,不然没办法替换的。

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

至此,就完成啦。


4.例程测试

4.1.使用向导新建一个工程,File->New Project Wizard

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.2.下一步

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.3.选择保存路径:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.4.添加设计文件到您的工程目录下面,如果没有设计文件,则点击“NEXT”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.5.选择器件的具体型号:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.6.选择设计综合工具,选择仿真工具,选择时序分析工具,默认,就点击“NEXT”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.7.点击“FINISH”,工程文件建立成功

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.8.新建设计文件,点击“FILE”—–“NEW”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.9.选择“VHDL File”:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.10.编写一个简单的半加器实验:

具体代码如下:

代码语言:javascript
复制
library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture fh1 of h_adder is
	begin
	
		SO <= A xor B;
		CO <= A and B;
end architecture fh1;

把这段代码粘贴到新建的file中:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.11.点击保存后,必须注意一点,您所设计的模块名,必须和您保存的文件名字完全相同

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.12.点击开始编译

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.13.编译成功,弹出对话框,确定:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

提示: 如果遇到错误:Error: Top-level design entity “Verilog1” is undefined 那是因为你的顶层文件名和实体名对不上,修改菜单Assignments -> Settings… 打开后点击第一个General选项里,在Top-level entity标签指示下的编辑框里输入你的VHDL文本里的实体名字就OK了。 例如:这里应该是:h_adder

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.14.新建仿真波形文件FILE->NEW;选择如下所示,选择好波形文件后,点击OK

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.15.弹出对话框,如下图所示:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.16.添加输入输出信号的波形仿真文件中;安装图中说明进行选择;

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.17.点击”Node Finder…”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.18.按照图中说明进行操作

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.19.再单击OK

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.20.编辑信号如下图所示:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

提示: 如何编辑信号的电平? 按住鼠标左键,然后拖地鼠标选取所需要的时间段;如下图所示

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

选择“1”,就可以把刚才所选取的时间段变为1;编辑好波形文件后,必须点击保存

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.21.点击保存,或者快捷键:CTRL + S:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.22.选取仿真的方式,点击“Processing”—-“Simulator Tool”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.23.如果您需要先进行功能仿真,1、选择“Functional”;2、选择刚才保存的波形文件“johson.vwf”;3、点击“Generate Functional Simulation Nelist”,弹出对话框,提示成功后,点击“确定”;4、点击“Start”

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

点击start,开始:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

4.24.仿真成功后,弹出对话框,提示仿真成功,然后点击“Report”就可以看到波形仿真结果了:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

半加器的仿真波形如下:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

5.新建原理图

5.1.首先选择FILE->Create/Update->Create Symbol Files for Current File

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

5.2.接着File->new

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

新建一个Schematic File

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

5.3.空白处双击:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

5.4.弹出一个对话框,选择,Project 下的 h_adder

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

5.5.单击左键放置,接OK了:

QuartusII 9.0安装教程详解及例程测试
QuartusII 9.0安装教程详解及例程测试

。。。。。。。。。。。。。。。。。。。。。本教程完。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。


版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/181457.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2022年10月16日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 1.首先下载软件以及文件
  • 2.安装软件
  • 3.打开软件
  • 4.例程测试
  • 5.新建原理图
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档