我看过前面所有的问题,似乎没有人有像我这样简单的问题。此外,我已经搜索了网络,并没有找到解决办法。
我对VHDL很陌生,并试图编译Altera提供的简单示例,如下所示:
library ieee;
use ieee.std_logic_1164.all;
entity light is
port(x1, x2: in std_logic;
f: out std_logic);
end light;
architecture LogicFunction of light is
begin
f <= (x1 and not x2) or (not x1 and x2);
end LogicFunction;
我遵循了Altera教程中的项目创建步骤,但当我试图编译该项目时,会得到以下错误:
Error (12007): Top-level design entity "alt_ex_1" is undefined
发布于 2014-09-14 16:17:01
发布于 2014-11-20 11:29:27
我的问题是verilog代码编译器。但是当我寻找问题的时候,我总是看到这个问题。因此,我决定加入我的解决方案,以指导其他人。我花了很长时间才找到解决办法。下面是我为解决problem.Just所做的工作,请遵循以下步骤(Quartus II 14.0.0);Assignments
-> Settings
-> Top-Level Entity
->Select your module
发布于 2015-04-30 23:30:35
只需将指针放在项目导航面板中的文件名上,然后单击右键,然后按下(设置为顶层实体)。好了。
https://stackoverflow.com/questions/25832326
复制相似问题