首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

【推荐】来自法国的混合仿真平台hynesim

法国著名的网络靶场hns-platform项目基于开源的由MinDef/DGA/Celar(FR)资助的Hynesim项目(http://www.hynesim.org)演变而来。这个开源的项目最早可以追溯到02、08年,由著名的Guillaume PRIGENT担任项目负责人和架构师。这个人开发了许多著名的工具,比如netglub(http://www.netglub.org),后来的安全领域大家都经常使用的Maltego就是基于netglub的更新升级版本。Maltego是一种交互式数据挖掘工具,可提供有向图以进行链接分析。该工具用于在线调查中,以查找来自Internet上各种来源的信息之间的关系。Maltego被全世界的安全专业人员使用,并且集成到了Kali Linux中。现在,Guillaume PRIGENT在法国创建了一家公司Diateam,主要从事网络靶场hns-platform项目的开发工作。Guillaume PRIGENT在过去的十年中一直在安全模拟领域的工作。他于1999年开始在欧洲布雷斯特虚拟现实中心CERV担任研究工程师,在那里他为法国国防部开发了“混合仿真”的概念。并且将这种想法付诸实践,开发了hynesim这款开源的混合仿真平台。大家可以去hynesim下载这款开源的混合仿真平台进行测试和使用。

01
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    2020腾讯犀牛鸟精英人才培养计划课题介绍(十)&(十一)&(十二)——智慧城市、数据库相关技术研究、区块链及其相关研究

    精英人才培养计划是一项校企联合人才培养项目,入选学生将受到业界顶尖技术团队与高校导师的联合指导及培养。培养期间,学生将获得3个月以上到访腾讯开展科研访问的机会,基于真实产业问题及海量数据,验证学术理论、加速成果应用转化、开阔研究视野。同时项目组将为学生搭建线上和线下学习、交流平台,帮助学生挖掘更多潜能。学生通过“十分精英圈”线上平台,随时获取前沿技术资讯、沉淀科研收获与心得;通过“智学研讨会”及“智享交流会”等线下平台,积极参与海内外顶级学术会议及学术专家交流活动;通过“精英研学营”进阶平台,对话产业

    02

    系统架构师论文-论软件产品线技术(-国防科技重点实验室)

    根据“十五"国防科技重点实验室一 “机载X XPD火控雷达性能开发与评估实验室"的建设需求。我所在的中国X集团公司X所电子対抗研究部组织了用于该实验室目标产生、信号干扰、欺骗等的“射频半实物仿真目标系统"的设计开发。该系统采用分布式联网试验,主要任务是试验机载雷达的各项技术指标,模拟较逼真的雷达信号环境 和其他电破信号环境,检查机载雷达工作性能、探测和跟踪精度及飞机综合火控系统性能。我担任了该软件系统负责人。 我成功的将软件产品线技术引入我部,复用构件库并対构件库按照产品系列进行改造,加强核心资源的形成,将系统模块化,复用构件的集成测试,使系统高效、高质量的圆满完成,并通过空军广州五所的第三方测评,得到了解放军总参装备部的认可与好评。但现在看来,如何在缺少通用的组装结构标准情况下减少开发风险,可重用性和可协调性等方面值得进一歩探究。

    02

    数字IC设计经典笔试题之【FPGA基础】

    同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间内处理的数据量就愈大。假设Tco是触发器的输入数据被时钟打入到触发器到数据到达触发器输出端的延时时间(Tco=Tsetpup+Thold);Tdelay是组合逻辑的延时;Tsetup是D触发器的建立时间。假设数据已被时钟打入D触发器,那么数据到达第一个触发器的Q输出端需要的延时时间是Tco,经过组合逻辑的延时时间为Tdelay,然后到达第二个触发器的D端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于Tco+Tdelay+Tsetup,也就是说最小的时钟周期Tmin =Tco+Tdelay+Tsetup,即最快的时钟频率Fmax =1/Tmin。FPGA开发软件也是通过这种方法来计算系统最高运行速度Fmax。因为Tco和Tsetup是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时间Tdelay,所以说缩短触发器间组合逻辑的延时时间是提高同步电路速度的关键所在。由于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。故只有缩短最长延时路径,才能提高电路的工作频率。可以将较大的组合逻辑分解为较小的N块,通过适当的方法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电路的工作频率。这就是所谓"流水线"技术的基本设计思想,即原设计速度受限部分用一个时钟周期实现,采用流水线技术插入触发器后,可用N个时钟周期实现,因此系统的工作速度可以加快,吞吐量加大。注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会稍有增加。

    01
    领券