首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    SystemVerilog中多态与虚方法

    在验证过程中,往测试平台中添加新的测试激励很正常的事,这样的话就需要对原来的测试平台进行改进,有的时候需要修改原来的代码甚至可能修改一些数据结构,这个过程中可能会导致在原来的验证平台中引入意外的错误。那么为了保证原有平台或数据结构不变,通过对已经有的基类进行引申或者扩展,从而完善整个验证平台。 从基类做扩展并产生新的子类的过程叫类的派生,当一个类被扩展并创建之后,该派生类就继承了其基类的数据成员、属性和方法,这就是类的继承。 继承后的类可以实现以下功能: 1.继承了原来类中的方法,并可以修改 2.添加新的方法 3.添加新的数据成员 在实现以上功能的同时需要满足一定的规则: 1.子类继承父类的所有数据成员和方法 2.子类可以添加新的数据成员和方法 3.子类可以重写父类中的数据成员和方法 4.如果一个方法被重写,其必须保持和父类中原有定义有一致的参数 5.子类可以通过super操作符来引用父类中的方法和成员 6.被声明为local的数据成员和方法只能对自己可见,对外部和子类都不可见;对声明为protected的数据成员和方法,对外部不可见,对自身和子类可见。

    01

    扫码

    添加站长 进交流群

    领取专属 10元无门槛券

    手把手带您无忧上云

    扫码加入开发者社群

    相关资讯

    热门标签

    活动推荐

      运营活动

      活动名称
      广告关闭
      领券