首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

Vivado 2019.1新特性(4):VHDL 2008 Generic

VHDL 2008对Generic有了显著的增强,不仅可以在entity中声明generic,还可以在package和function中声明generic。同时,generic支持type。...在entity中声明generic 如下VHDL代码实现了一个二选一的MUX,这里将数据类型通过关键字type定义为dt。实例化时,根据需要将数据类型声明为期望的类型。 ?...在package中声明generic VHDL 2008支持在package中声明generic。从这个角度看,package类似于C++中的template。...在使用带generic的package之前,要先对该package实例化。来看一个例子。 ? 使用该package时,要先实例化,如下代码第1行和第2行所示,使用了关键字new。...Vivado 2019.1开始支持增强型generic。需要声明文件类型为VHDL 2008。可通过如下方式实现。在project模式下,可直接在Tcl Console中执行命令: ?

2.5K20
领券