首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

JTAG

JTAG 简介 JTAG(Joint Test Action Group)联合测试行动小组)是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。...JTAG 测试允许多个器件通过 JTAG 接口串联在一起,形成一个 JTAG 链,能实现对各个器件分别测试。现在,JTAG 接口还常用于对FLASH等器件进行编程。...JTAG 编程方式是在线编程,传统生产流程中先对芯片进行预编程实现再装到板上因此而改变,简化的流程为先固定器件到电路板上,再用 JTAG 编程,从而大大加快工程进度。...所以有四线JTAG与五线JTAG之分。 -VTREF 接口信号电平参考电压一般直接连接 Vsupply 。...JTAG仿真器 JTAG 工作原理 jtag如何用于芯片测试呢?其中用到的最主要部件就是边界扫描链。命名为边界扫描链,是由于它位置处于处理器的边界上。

2.3K10

什么是JTAG

JTAG是1980年代开发的用于解决电子板制造问题的IEEE标准(1149.1)。如今,它可以用作编程,调试和探测端口。但是首先,让我们看看JTAG的最初用途,边界测试。 ?...这样就创建了JTAG。 ? JTAG可以控制(or hijack)所有IC的引脚。在图片上,也许JTAG将使所有CPU引脚输出,以及所有FPGA引脚输入。...首先,TMS和TCK与所有JTAG IC并联。 ? 然后将TDI和TDO并连接起来,形成一条链。用JTAG术语,您经常会听到“ JTAG-chain”一词的来历。 ?...如您所见,每个符合JTAG的IC都有四个用于JTAG的引脚(三个输入和一个输出)。名为TRST的第五个引脚是可选的(JTAG复位)。JTAG引脚通常是专用的(不共享用于其他目的)。...所有大型IC都使用通过JTAG进行的边界测试-边界测试是创建JTAG的最初原因。如今,JTAG的使用已得到扩展,以允许进行诸如配置FPGA之类的操作,然后在FPGA内核内部使用JTAG进行调试。

1.6K30
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    JTAG接口定义与其他简介

    JTAG接口定义与其他简介 JTAG(Joint Test Action Group)是一个接口,为了这个接口成立了一个小组叫JTAG小组,它成立于1985年,比推丸菌的年龄还大。...可能有眼尖的工程师,对的,我这个跟Intel的文档是一样的[请参考jtag-101-ieee-1149x-paper.pdf]。...在JTAG接口中,最常用的信号有四个,分别是TCK/TMS/TDO/TDI。JTAG接口可以一对一的使用,也可以组成菊花链的一对多拓扑结构,两种拓扑结构如下图所示。...JTAG中虽然常用4个引脚,对于攻城狮来说,一定要掌握这4个信号,但对其他信号也要充分了解,这样在使用的时候,就可以做到随心所欲。...下表介绍了JTAG和SWD信号接口,表中所有信号流向,是相对芯片而言的。

    1.1K20

    FPGA的调试-虚拟JTAG

    FPGA的调试-虚拟JTAG 1.功能及简介   虚拟JTAG(xilinx也有类似的工具,但是不知道叫什么名字 囧 )就是利用FPGA自身的JTAG口建立对设计课件的调试手段,只要通过例化虚拟JTAG...虚拟JTAG的核心包括两部分:(1)直接在HDL代码中例化SLD_VIRTUAL_JTAG宏功能,使FPGA器件的JTAG接口能够访问FPGA设计指定的部件或者接口信号;(2)通过TCL控制界面以及Quartus_stp.exe...虚拟JTAG功能与FPGA本身的SIgnal-TAP以及JTAG下载是并行时分复用的。   虚拟JTAG可以通过TCL脚本在线地把PC机的数据写入到FPGA内部或者从FPGA内部读出并传到PC机。...此外,只要你会用TCL的TK图形库,就能利用虚拟JTAG做上位机。 这一篇博文里将介绍基于Virtual JTAG的调试方法。...(1).新建一个虚拟JTAG的IP核 1.Tools —>IP Catalog ? 2.搜索 vir ,找到Altera Virtual JTAG ?

    1.7K30

    【开源硬件】多功能FPGA-JTAG

    硬件介绍 目前国内AMD-Xilinx FPGA-JTAG主要有两种:一是官方开发板: 二是新推出的smart lynq(速度及功能更强): 价格就劝退很大一部分人了: 二是国产的,各种各样就不放图片了...,国产的JTAG基本都是使用逆向Digilent的板载JTAG,使用FT232Hx或者FT2232Hx系列芯片+逆向的固件实现,但是最近FT232Hx和FT2232Hx价格“飞天”,所以我们今天玩点不一样的...-逆向官方的开发板-使用FT4232Hx(兼容FT4232HQ和FT4232HL)实现一个多功能JTAG: 整体就是FT4232最小系统加上电平转换芯片实现,系统框图如下: 引出一路JTAG+三路串口...固件烧写 简单的很,只需要在下面开源链接上下载软件: https://github.com/suisuisi/jtag 压缩密码:openfpga 插上USB到电脑,设备管理器上显示如下: 点击下面的文件即可完成烧写...扩展板 上面核心板可以适当扩大,增加接口,兼容更多的接口形式,我为了减少体积,所以增加了一个扩展板: 扩展板地址: https://oshwhub.com/OpenFPGA/jtag-zhuan-jie-ban

    55120

    快来趴一趴JTAG那些事(上)

    你以为你不知道,其实它一直就在你身边,JTAG是嵌入式开发中在熟悉不过的一个名词了,但是你真的很了解他吗,来一块趴一趴JTAG的那些事,今天先来看一些基础知识 JTAG 简介 JTAG是英文“Joint...JTAG 主要应用于:电路的边界扫描测试和可编程芯片的在线系统编程。JTAG是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。...JTAG最初是用来对芯片进行测试的,JTAG的基本原理是在器件内部定义一个TAP(Test Access Port;测试访问口)通过专用的JTAG测试工具对内部节点进行测试。...JTAG测试允许多个器件通过JTAG接口串联在一起,形成一个JTAG链,能实现对各个器件分别测试。...通常所说的JTAG大致分两类,一类用于测试芯片的电气特性,检测芯片是否有问题;一类用于 Debug,一般支持JTAG的CPU内都包含了这两个模块。

    1.2K70

    硬件学习之通过树莓派操控 jtag

    作者:Hcamael@知道创宇404实验室 时间:2019年10月21日 最近在搞路由器的时候,不小心把CFE给刷挂了,然后发现能通过jtag进行救砖,所以就对jtag进行了一波研究。...随后通过Google搜到发现了一个工具叫: tjtag-pi[1] 可以通树莓派来控制jtag,随后学习了一波树莓派的操作。...jtag 新加坡安全研究员Awakened在他的博客中发布 首先是针脚,我见过的设备给jtag一般是提供了5 * 2以上的引脚。其中有一般都是接地引脚,另一半只要知道4个最重要的引脚。...jtag大致架构如上图所示,其中TAP-Controller的架构如下图所示: ? 根据上面这两个架构,对jtag的原理进行讲解。...所以在使用jtag前,我们先通过TMS端口,发送5次为1的数据,jtag的状态机将会进入到RESET的复原状态。

    74020

    强大的JTAG边界扫描2-BSDL文件

    上一篇文章,我们介绍了JTAG边界扫描的基础知识,今天我们来看看边界扫描测试必须使用到的一个文件,BSDL文件。...BSDL,Boundary Scan Description Language的缩写,即边界扫描描述语言,属于VHDL的一个子集,内容符合VHDL的语法标准,用于描述JTAG在指定设备中的实现方式,只要设备符合...JTAG标准,那么它必须具有对应的BSDL文件。...BSDL文件的获取 方式1:BSDL Library https://www.bsdl.info/ 这个网站几乎包括所有支持JTAG芯片的BSDL文件,超过100家半导体公司的上万款芯片,包括MCU、DSP...更多精选 强大的JTAG边界扫描1-基本原理 中国移动万耦天工开发板试用评测 用JLink和OpenOCD来下载Xilinx FPGA程序 获取Xilinx FPGA芯片IDCODE的4种方法(支持任何

    41420

    强大的JTAG边界扫描5-FPGA边界扫描应用

    上一篇文章,介绍了基于STM32F103的JTAG边界扫描应用,演示了TopJTAG Probe软件的应用,以及边界扫描的基本功能。...硬件连接 首先需要准备好以下硬件: JTAG调试器,如JLink V9标准版 一块FPGA板子,如Xilinx XC7K325T Xilinx的JTAG接口和Jlink的JTAG接口线序不一致,需要使用单独的杜邦线分别连接...边界扫描测试 打开TopJTAG新建工程,选择JTAG设备为JLink 如果连接正常,会显示当前连接芯片的IDCODE 指定BSDL文件路径,并进行IDCODE校验。...更多精选 强大的JTAG边界扫描4-STM32边界扫描应用 强大的JTAG边界扫描3-常用边界扫描测试软件 强大的JTAG边界扫描2-BSDL文件 强大的JTAG边界扫描1-基本原理 中国移动万耦天工开发板试用评测

    42640

    强大的JTAG边界扫描1-基本原理

    提到边界扫描,就不得不提JTAG,因为边界扫描是JTAG接口的功能之一。 JTAG,是Joint Test Action Group的简称,即联合测试行为小组。...JTAG协议的设计初衷,并不是用来下载程序的。 JTAG中的'T',是Test的缩写,没错!JTAG接口被设计之初,就是用来测试的!...以Jlink的JTAG接口为例,可以看到标准的4个JTAG管脚: 以下是JTAG接口的使用示意: 每个管脚都有一个边界扫描寄存器单元,在时钟的驱动下,每个管脚的信号在寄存器单元之间依次流动,从而实现每个管脚状态的控制和读取...还有一个是《ARM JTAG调试原理》文档,很精简,只有22页,可以对JTAG协议有个初步了解。...http://www.micetek.com.cn/technic/jtag.pdf JTAG协议的官方文档JTAG_IEEE-Std-1149.1-2001: https://fiona.dmcs.pl

    61630

    强大的JTAG边界扫描3-常用边界扫描测试软件

    功能强大的XJTAG XJTAG是由剑桥大学的毕业生们设计开发的一整套系统,包括JTAG调试器硬件和上位机软件,功能强大,价格不菲。...官方网站:www.xjtag.com/zh-hans/ 以其中一款JTAG控制器XJLink2 为例,其特性如下: 支持最多4个TAP接口 TCK最高可达166MHz JTAG信号电压可配置,1.1-...小巧简洁的TopJTAG 常用的边界扫描软件还有TopJTAG公司的开发的一款小软件TopJTAG Probe,可以基于常用的仿真器,如J-Link、USB-Blaster等,配合Top JTAG Probe...关于BSDL文件的获取方法,可以查看上一篇文章: 强大的JTAG边界扫描(2):BSDL文件介绍。 如果验证通过,会弹出如下芯片视图,可以看到每个管脚的状态。...更多精选 强大的JTAG边界扫描1-基本原理 强大的JTAG边界扫描2-BSDL文件 中国移动万耦天工开发板试用评测 用JLink和OpenOCD来下载Xilinx FPGA程序 获取Xilinx FPGA

    37740
    领券