首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程

相比于各大FPGA厂商的IDE几个G的大小,Icarus Verilog 显得极其小巧,最新版安装包大小仅有17MB,支持全平台:Windows+Linux+MacOS,并且源代码开源。...iverilog支持Windows、Linux和MacOS三大主流平台,截止2019年12月1日,最新版本v11-20190809下载: http://bleyer.org/icarus/iverilog-v11...-20190809-x64_setup.exe 3.1 Windows下的安装 Windows下直接双击上面下载的安装文件即可,安装完成后安装目录如下: 3.2 Linux下的安装 Linux下的安装,...Windows环境可以通过where命令查看安装路径 where iverilog where vvp where gtkwave Linux环境可以通过which命令查看安装路径 which iverilog...Windows直接双击运行,Linux在终端执行。 9.

3.5K40
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    Linux | “搭建verilog学习环境”

    图文编辑:逻辑熊猫 | 图片:截图 欢迎朋友圈各种姿势的分享 如需转载,请后台联系授权 更多文章 Linux常用命令(面向小白) Linux命令从自助开始 - 正式开始 - ▼ -01- 前言 写这一篇文章的初衷一个是分享给各位想进入...-02- 工具篇 这里推荐的工具是iverilog和gtkwave。 linux/ubuntu 下使用命令 sudo apt-get install iverilog 安装。...安装是一个简单的过程,相信有linux或者ubuntu使用经验的人都很容以完成。 这里使用命令 man iverilog 可以查看使用手册。 ? 安装iverilog会同时安装vvp。...Linux/Ubuntu平台使用sudo apt-get install gtkwave 命令安装gtkwave。 gtk用来查看仿真波形。 安装好之后可以使用which命令查看命令所在位置。 ?...执行iverilog命令如 iverilog -o testname count.v tb_cnt.v 按:-o name (name是输出的文件名,是一个可执行文件,执行止呕胡会出现.vcd波形文件,

    8.7K30

    平头哥开源项目wujian100_open | 基于VCS+Verdi的仿真

    git clone git@github.com:T-head-Semi/wujian100_open.git 进入wujian100_open/tools/目录,修改setup.csh; 由于我的linux...平台linux应用程序编译连接工具套件安装文件: riscv64-linux-x86_64-*.tar.gz 64位linux平台BareMetal应用程序编译连接工具套件安装文件: riscv64-elf-x86...riscv_toolchain目录下 tar -zxf riscv64-elf-x86_64-20190731.tar.gz 仿真 进入wujian100_open/workdir 目录开始仿真; 目前环境中支持iverilog.../case/dma/dma_test.c 等待仿真结束,仿真结束会打印“Test Pass”表明本次仿真测试通过; 如果安装有iverilog,可以在workdir目录下执行命令: ...../tools/run_case -sim_tool iverilog ../case/dma/dma_test.c 打开verdi 加载波形verdi test.vcd &

    1.6K33

    开源100 Gbps NIC Corundum环境搭建介绍(二)仿真及工程恢复

    殊途同归,两种方式最终都是在cocotb-test的框架下去启动编译器仿真器(iverilog)对RTL代码进行编译和仿真。...$ cd /path/to/corundum/fpga/common/tb/rx_hash $ pytest -n 4 Test session starts (platform: linux, Python...环境准备: Ubuntu 20.04 LTS系统(或其他linux系统) vivado 2020.2软件(需要破解或者激活使用)(2018.2不支持,2019.2需要修改端口代码,较为麻烦) 100G...请注意,资源库大量使用了符号链接,所以强烈建议在Linux下构建设计。...该项目资源库大量使用了符号链接,这在Linux系统下可以寻找到源文件,但这种机制在windows下不可行,因此需要将RTL文件精确调用。

    2.7K33

    如何用FPGA解一道初中数学题

    仿真波形 仿真工具除了使用各大FPGA厂商IDE带的ModelSim等,也可以使用小巧开源的全平台仿真工具:iverilog+gtkwave,使用方法可以参考: 全平台轻量开源verilog仿真工具iverilog...+GTKWave使用教程 如果使用iverilog进行仿真,需要在TB文件中添加以下几行语句: /*iverilog */ initial begin $dumpfile...("wave.vcd"); //生成的vcd文件名称 $dumpvars(0, fpga_math_tb); //tb模块名称 end /*iverilog * 首先对Verilog...源文件进行编译,检查是否有语法错误,这会在当前目录生成wave目标文件: iverilog -o wave *.v 然后通过vvp指令,产生仿真的wave.vcd波形文件: vvp -n wave -lxt2...使用gtkwave打开波形文件: gtkwave wave.vcd 当然以上命令也可以写成批处理文件: echo "开始编译" iverilog -o wave *.v echo "编译完成" echo

    62120

    数字IC设计 | 入门到放弃指南

    FIFO(FIFO深度计算)等 ->时钟切换电路(Glitch-free clock switching circuit)、异步FIFO、同步FIFO、同步和异步FIFO、FIFO深度计算 3.设计工具 linux...系统:linux、shell常见命令 gvim/emac编辑器: 熟练使用Vim/Emacs,用编程的方式来编程,可以提高效率。...版本管理工具:SVN、Git、p4等 版本管理,简而言之,就是一种用于记录和查询文件版本改动的工具; EDA工具 仿真工具:NCVerilog/ VCS/ ModelSim/ iVerilog 以上是比较业界比较主流的仿真工具...,其中Icarus Verilog (iVerilog)为开源工具,仿真过程需要了解: 如何指定编译的文件类型; 如何指定编译文件清单; 如何指定索引目录; 如何指定仿真精度; 如何指定临时的宏变量;...如何指定语法检查的严苛等级; 如何混合编译由多种语言写成的工程; 如何调用不同波形生成工具的pli接口; 如何配合SDF反标进行后仿等; -> 芯片后仿及SDF反标、VCS实用技巧、开源verilog仿真工具iverilog

    2.3K33
    领券