首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

基于迭代单元的恢复余数开方器基于迭代单元的恢复余数开方器

基于迭代单元的恢复余数开方器 基本算法 该开方器的算法与“手算”(以前并不知道开方还有这种手算的方法)算法相似,使用迭代解决,文字描述如下 将0为余数的初值a,0作为结果初值b 将被开方数前两位{I(...若前两位大,则{I(2m + 1),I(2m)} - 01为输出余数(a(m)),输出结果1(b(m)),否则{I(2m + 1),I(2m)}为输出余数(a(m)),输出结果0(b(m)) 将被开方数的从高位数第...输出结果b(m - 1)为{b(m),1};否则,输出余数为前一项(直接输出),输出结果b(m - 1)为{b(m),0} ......直到计算完被开方数结束 迭代单元 算法 迭代单元的算法比较简单,描述如下: 组合输入余数和当前开方数的两位{b,I(i),I(i - 1)},组合输入结果和01为{a,2'b01} 比较大小,若组合余数大则输出余数为组合余数减去组合结果...,输出结果{a,1};否则余数输出组合余数,结果输出{a,0} RTL代码 module square_cell #( parameter WIDTH = 4, parameter STEP

1.1K60
领券