首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

Quartus II 操作入门

使用Quartus设计FPGA,简单包括以下流程: 新建工程,写代码 编译工程,找错误 分配引脚,重编译 下载配置,到硬件 为保证设计的正确性,在编译后,一般还需要做仿真验证,然后下载至硬件,有两种仿真方式...比如,我使用的芯片型号如下: Family: Cyclone II Device:EP2C5T144C8 ↓ Next…下图,选择综合、仿真、时序分析工具....此处如果全部选择None,表示用Quartus自带的工具。 注:Quartus 9.1以后的版本不再自带仿真工具,需要安装Modelsim之类的软件来仿真。 ↓ Next.....如果你的电脑第一次使用USB-Blaster下载器,需要为它安装驱动: 方法:我的电脑右键->设备管理器->USB-Blaster右键->更新驱动->定位到Quartus安装目录C:\altera\...9.0\quartus\drivers\usb-blaster,等待安装完成。

2.3K10
您找到你想要的搜索结果了吗?
是的
没有找到

Quartus II 上手攻略

本篇文章结合上课内容和B站Quartus进行整理,总结一下Quartus 这款软件的基本使用。...参考的B站教学链接:《Quartus II 软件安装与入门教程》 Quartus 软件简介 Quartus II 是Altera公司为其FPGA/CPLD芯片设计的集成化专用开发软件,有原理图、VHDL...用自己的语言总结:Quartus不仅支持在上面绘制电路,进行仿真,还支持编写代码,并且支持将代码下载到FPGA上。...因此,总软件大小比较大,大约15G左右(我的是正版免费的Lite版本) Quartus 软件下载 关于Quartus软件下载,有个地方需要注意,若要保证其仿真功能的正常运作,在安装界面必须勾选Modelsim...我在官网下的最新版本并未注意到这个问题,导致仿真时会报错Error loading design 卸载之后,我安装的是Quartus18.1的版本,直接安装即可,一路next。

1.1K10

Quartus II 13.1的安装及使用

大家好, Quartus II的安装及使用 前言 一、Quartus II的下载 二、Quartus II的安装 三、Quartus II的注册 四、Quartus II的使用 (一)相关驱动的配置...一、Quartus II的下载 百度网盘下载链接: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA 提取码:ifte 说明: 本链接来自于正点原子官方资料下载...二、Quartus II的安装 1.解压压缩包 2.运行可执行程序 3.安装导向过程 ①进入welcom界面 ②勾选“I accept the agreement”,然后点击Next...: Tool –> License Setup 四、Quartus II的使用 (一)相关驱动的配置 1.将USB线一端连接下载器,另一段插到电脑的USB接口上面 右键点击桌面的【计算机】→【管理...附带完整的器件库 Quartus II 13.1添加器件库方法 版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。

1.9K30

数字电路实验环境 (Quartus II 9.0)

大家好,我是孙不坚1208,记录一下数字电路这门课的实验环境((Quartus II 9.0))安装。...一、安装Quartus II 9.0 等它稍微加载,出现下面这个界面。 这里进行安装,无脑点击下一步就OK,记得安装目录为自己设置好的。...二、激活成功教程Quartus II 9.0 下面我们使用Quartus_II_9.0激活成功教程器.exe进行激活成功教程 。...首先,我们将Quartus_II_9.0激活成功教程器.exe复制到我们的安装目录(C:\altera\90\quartus\bin)下,然后运行文件。 开始激活成功教程,如图。...我们需要把license.dat里的XXXXXXXXXXXX 用咱们的网卡号替换(在Quartus II 9.0的Tools菜单下选择License Setup,下面就有网卡号NIC ID,我们复制第一个

79820

Quartus II 13.0软件下载和安装教程

Quartus II 13.0软件简介:Quartus II 13.0是一款综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description...Quartus II 13.0软件下载:[软件全称]:Python 2.7.6[软件大小]:31MB[软件语言]:中文[安装环境]:Win7/Win8/Win10/Win11[下载地址①]:百度网盘:https...pwd=0m2kQuartus II 13.0安装教程: 1. 解压安装包2. 鼠标右键安装程序“以管理员身份运行”。3. “Next”。4. 选择“I accept……”,点击“Next”。5. ...关闭提示框11. 32位选择“Quartus_13.0_x86破解器”,64位选择“Quartus_13.0_x64破解器”右击破解器,选择“复制”。12....粘贴至安装目录下的“quartus\bin64”文件夹下。13. 鼠标右键“Quartus_13.0_x64破解器”,“以管理员身份运行”。14. “应用”。15. 弹出窗口,点击“保存”。16.

2.3K10

quartus ii 12.0安装教程_系统安装教程

1.鼠标右击【Quartus II 12.0】压缩包选择【解压到Quartus II 12.0】。 2.双击打开解压后的【Quartus II 12.0】文件夹。...17.打开安装包解压后的【Quartus II 12.0】文件夹里面的【Quartus】文件夹,鼠标右击【12.0_178_devices_arria_windows.exe】选择【以管理员身份运行】。...28.双击打开安装包解压后的【Quartus II 12.0】文件夹里面的【Crack】文件夹。 29.鼠标右击【Quartus_12.0_x64.exe】选择【以管理员身份运行】。...34.在桌面双击【Quartus II 12.0 (64-Bit)】软件图标启动软件。 35.选择【Start the 30-day evaluation …】然后点击【OK】。...43.在桌面双击【Quartus II 12.0 (64-Bit)】软件图标启动软件。 44.选择【If you have a …】然后点击【OK】。 45.点击【…】。

2.7K40

Quartus II 18.0软件下载和安装教程

Quartus II 18.0软件简介:Quartus II 18.0是一款综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description...Quartus II 18.0软件下载:[软件全称]:Python 2.7.6[软件大小]:31MB[软件语言]:中文[安装环境]:Win7/Win8/Win10/Win11[下载地址①]:百度网盘:https...pwd=0m2kQuartus II 18.0安装教程:1. 鼠标右击压缩包选择解压2. 打开“器件库下载地址”文件,下载自己所需文件。3. 鼠标右击软件选择“属性”。4....鼠标右击“Quartus_II_18.0破解器”,选择“复制”。29. 粘贴至安装目录下的“quartus\bin64”文件夹里面。30. 右击刚才粘贴过来的文件,选择“以管理员身份运行”。31.

2.2K20

Quartus II 15.0软件下载和安装教程

Quartus II 15.0软件简介:Quartus II 15.0是一款综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description...Quartus II 15.0软件下载:[软件全称]:Python 2.7.6[软件大小]:31MB[软件语言]:中文[安装环境]:Win7/Win8/Win10/Win11[下载地址①]:百度网盘:https...pwd=0m2kQuartus II 15.0安装教程:1. 解压安装包2. 打开“器件库下载地址”文件,选择自己需要的下载3. 鼠标右键“以管理员身份运行”。4. “Next”。5....打开“Quartus_II_15.0license”文件夹。18. 右击“license”选择“打开方式”。19. 选择“记事本”20....鼠标右击“Quartus_II_15.0破解器”,“以管理员身份运行”27.“查找”。28. 选择“quartus\bin64”文件夹里的“gcl_afcq.dll”文件,然后点击“打开”。29.

1.9K20

Quartus II 13.0安装和激活成功教程教程

Quartus II软件是学习FPGA非常重要的软件,下面来介绍一下13.0版本的安装及激活成功教程教程: 1、安装包介绍 大家下载的完整版的 Quartus II13.0 软件应包含以下三个部分...: 请大家选择第三个“Quartus-13.0.0.156-windows.tar”压缩文件,即为我们的 Quartus II13.0 的主安装包,这是一个压缩文件,安装前需要解压。...“Device”为器件库,随着 Altera 推出的产品系列越来越多,以前 9.0 版本那种将所有器件封装在安装包中自动安装的方式已经无法适应现在数量众多的器件了,所以,Quartus II13.0 将器件库独立出来...下面介绍激活成功教程方法: 1、从开始菜单或桌面上运行 Quartus II13.0 软件,首次运行,会弹出以下界面,提示我们安装license: 这里我们直接选择最后一项,然后点击“OK”进入下一个页面...(提示,如果没有弹出上述窗口,可能与之前装过并激活成功教程过该软件相同或其他版本软件有关,但此时我们仍需重新激活成功教程,我们可以通过在 Quartus II 中依次点击【Tools】->【License

4.2K30

quartus ii12.1安装教程_ghost手动安装教程

1.鼠标右击【Quartus II 11.0】压缩包选择【解压到Quartus II 11.0】。 2.双击打开解压后的【Quartus II 11.0】文件夹。...17.打开解压后的【Quartus II 11.0】文件夹里面的【Quartus】文件夹,鼠标右击【11.0_devices_windows.exe】选择【以管理员身份运行】。...28.双击打开安装包解压后的【Quartus II 11.0】文件夹里面的【Crack】文件夹。 29.鼠标右击【Quartus_11.0_x64.exe】选择【以管理员身份运行】。...34.在桌面双击【Quartus II 11.0 (64-Bit)】软件图标启动软件。 35.选择【Start the 30-day evaluation …】然后点击【OK】。...43.在桌面双击【Quartus II 11.0 (64-Bit)】软件图标启动软件。 44.选择【If you have a …】然后点击【OK】。 45.点击【…】。

1.6K20
领券