首页
学习
活动
专区
工具
TVP
发布

强大的图片预览组件Viewer.js

​ 1、 Viewer.js简介 Viewer.js 是一款强大的图片查看器。我们通过Viewer.js 在页面上添加强大的图片查看功能,同时,这款优秀的插件配置操作起来也非常的方便。...Viewer.js分为2个版本,js版本和jquery版本,下载地址分别为 纯JS版本:https://github.com/fengyuanchen/viewerjs jQuery 版本:GitHub...支持响应式 支持放大/缩小 支持旋转(类似微博的图片旋转) 支持水平/垂直翻转 支持图片移动 支持键盘 支持全屏幻灯片模式(可做屏保) 支持缩略图 支持标题显示 支持多种自定义事件 3、Viewer.js...* Viewer.js v0.3.1 * https://github.com/fengyuanchen/viewerjs * * Copyright (c) 2015-2016 Fengyuan...* Viewer.js v0.3.1 * https://github.com/fengyuanchen/viewerjs * * Copyright (c) 2015-2016 Fengyuan

3K20
您找到你想要的搜索结果了吗?
是的
没有找到

拷贝初始化与直接初始化

总结 初始化值的是创建变量时赋予变量一个值(不同于赋值的概念) 使用等号=初始化对象时是拷贝初始化,否则是直接初始化 直接初始化也可能调用拷贝构造函数,拷贝初始化可以不调用拷贝构造函数 初始化概念 对象是类的实例化...创建一个对象分为两步:分配内存空间和初始化,刚刚分配的空间有可能包含脏数据,因此我们需要通过初始化函数(C++中指的是构造函数)对分配的空间进行正确地初始化以保证对象值的合法性。...拷贝初始化与直接初始化 C++由于历史原因包含多种不同的初始化方式,我们可以简单地认为:如果使用等号=初始化变量则执行的是拷贝初始化(编译器将等号右边的对象值拷贝到新创建的对象中去),不使用等号时使用的是直接初始化...string s1 = "tomocat"; // 拷贝初始化 string s2("tomocat"); // 直接初始化 string s3(10, 'c'); // 直接初始化...实战 尽管直接初始化和拷贝初始化的定义如上所示,但是由于直接初始化可能调用拷贝构造函数,拷贝初始化不一定调用拷贝构造函数,我们还是结合一些实例来看一下。

1.4K20

初始化

在编程时,初始化与清理的工作影响着一个程序的安全性,明白初始化的顺序以及其他相关问题可以很有效的避免运行时的对象空指针的问题,以及基本类型数据的值问题 基本数据类型的初始化 1,基本数据类型分别有对应的默认的初始化值...,但如果是方法内部的局部变量,则没有初始化 如图,我在方法内部定义一个int型的变量,在使用时会出现变量没有初始化的错误 2,为基本类型数据指定初始化,成员变量和局部变量均可以,即在定义变量的地方就对变量进行初始化...引用数据类型的初始化 以String为例,观察引用类型的初始化 1,引用数据类型的默认初始化: /** * 打印出对象的默认值 */ private void initObject(){...,那么初始化的顺序在很大程度上可以决定运行时的空指针异常,接下来是分析初始化顺序 因为基本数据类型有默认的初始化值,所以不用考虑在内,主要是引用数据类型的初始化顺序, 有三个类, public class...2,成员变量初始化的顺序为静态成员变量,然后实例成员变量 3,在调用构造器进行初始化时,如果有父类,先初始化父类构造器,从最顶端的父类开始,例如本例中, 在创建ThirdDataClass对象时,先初始化

71720

vector初始化方法_vector初始化大小

2. vector的元素被初始化为与其类型相关的缺省值:算术和指针类型的缺省值是 0,对于class 类型,缺省值可通过调用这类的缺省构造函数获得,我们还可以为每个元素提供一个显式的初始值来完成初始化,...例如 vector ivec( 10, -1 ); 定义了 ivec 它包含十个int型的元素 每个元素都被初始化为-1 对于内置数组 我们可以显式地把数组的元素初始化为一组常量值...,例如 : int ia[ 6 ] = { -2, -1, 0, 1, 2, 1024 }; 我们不能用同样的方法显式地初始化 vector ,但是可以将 vector 初始化为一个已有数组的全部或一部分...,只需指定希望被用来初始化 vector 的数组的开始地址以及数组最末元的下一位置来实现,例如: // 把 ia 的 6 个元素拷贝到 ivec 中 vector ivec...( ia, ia+6 ); 被传递给ivec 的两个指针标记了用来初始化对象的值的范围,第二个指针总是指向要拷贝的末元素的下一位置,标记出来的元素范围也可以是数组的一个子集,例如 : //

1.8K30
领券