首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

数字IC前端设计学习资料合集

芯片从设计到实现的过程大致可以分为前端(逻辑设计) 与 后端(物理设计)。前端以设计架构为起点,以功能正确且满足目标时序的网表为终点,用逻辑电路实现预期的功能。主要包括:规则书制定、系统架构设计、部件详细设计、HDL编码、仿真验证、SDC编写、逻辑综合、STA检查、形式化验证等。

作为初学者,学习IC设计该如何入手?怎样学习才能成为一名合格的数字IC前端设计工程师?本文集整理收集了有关数字IC前端设计资料,涵盖基础知识、语言、平台环境、开发流程、设计对象等,希望对入行的同学有帮助。

学习资料汇总(部分):

数字集成电路设计—电路、系统与设计

Verilog数字系统设计教程(第3版)

Perl语言入门

Python编程:从入门到实践

Python编程快速上手-让繁琐工作自动化

鸟哥的Linux私房菜 - 基础学习篇 第四版

高级ASIC芯片综合

Static Timing Analysis for Nanometer Designs

集成电路静态时序分析与建模

… …

  • 发表于:
  • 原文链接https://kuaibao.qq.com/s/20221013A00P8G00?refer=cp_1026
  • 腾讯「腾讯云开发者社区」是腾讯内容开放平台帐号(企鹅号)传播渠道之一,根据《腾讯内容开放平台服务协议》转载发布内容。
  • 如有侵权,请联系 cloudcommunity@tencent.com 删除。

扫码

添加站长 进交流群

领取专属 10元无门槛券

私享最新 技术干货

扫码加入开发者社群
领券