前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >VHDL实现分频器

VHDL实现分频器

作者头像
zy010101
发布2019-05-25 19:51:40
9100
发布2019-05-25 19:51:40
举报
文章被收录于专栏:程序员程序员

版权声明:本文为博主原创文章,转载请注明博客地址: https://cloud.tencent.com/developer/article/1433306

10分频电路(非

分频器)

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY clk_div IS
	PORT(clkin:IN STD_LOGIC;
		clkout:OUT STD_LOGIC);
END clk_div;
ARCHITECTURE clk_div_behavior OF clk_div IS
	SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);
	SIGNAL temp:STD_LOGIC;
BEGIN
	PROCESS(clkin)
	BEGIN
		IF(clkin'EVENT AND clkin='1')THEN
			IF(counter="100")THEN        --注意,这里是0——4,一个周期1:1的高低电平
				counter<="000";
				temp<=NOT temp;
			ELSE
				counter<=counter+1;
			END	IF;
		END IF;
	END PROCESS;
	clkout<=temp;
END clk_div_behavior;

分频电路(2,4,8分频电路)

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY clk_div IS
	PORT(clk:IN STD_LOGIC;
		clk_div_2:OUT STD_LOGIC;
		clk_div_4:OUT STD_LOGIC;
		clk_div_8:OUT STD_LOGIC);
END clk_div;
ARCHITECTURE clk_div_behavior OF clk_div IS
SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
	PROCESS(clk)
	BEGIN
		IF(clk'EVENT AND clk='1')THEN
			IF(counter="111")THEN
				counter="000";
			ELSE
				counter<=counter+1;
			END IF;
		END IF;
	END PROCESS;
	clk_div_2<=NOT counter(0);
	clk_div_4<=NOT counter(1);
	clk_div_8<=NOT counter(2);
END clk_div_behavior;

占空比为2:4的6分频器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY clk_div IS
	PORT(clk:IN STD_LOGIC;
		clk_div_6:OUT STD_LOGIC);
END	clk_div;
ARCHITECTURE clk_div_bahavior OF clk_div IS
	SIGNAL temp:STD_LOGIC_VECTOR(2 DOWNTO 0);
	CONSTANT counter:STD_LOGIC_VECTOR(2 DOWNTO 0):="101";
BEGIN
	PROCESS(clk)
	BEGIN
		IF(clk'EVENT AND clk='1')THEN
			IF(temp=counter)THEN	--控制分频
				temp<="000";
			ELSE
				temp<=temp+1;
			END IF;
		END IF;
	END PROCESS;
	PROCESS(clk)
	BEGIN
		IF(clk'EVENT AND clk='1')THEN
			IF(temp="001")	--控制占空比
				clk_div_6<='1';
			ELSE
				clk_div_6<='0';
			END IF;
		END IF;
	END PROCESS;
END clk_div_bahavior;

我的博客即将同步至腾讯云+社区,邀请大家一同入驻:https://cloud.tencent.com/developer/support-plan?invite_code=3f3iv18pcu80k

本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2019年04月17日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 10分频电路(非
  • 占空比为2:4的6分频器
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档