前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >VHDL设计触发器和锁存器

VHDL设计触发器和锁存器

作者头像
zy010101
发布2019-05-25 19:52:19
8310
发布2019-05-25 19:52:19
举报
文章被收录于专栏:程序员

D触发器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY dtrigger IS
	PORT(d,clk:IN STD_LOGIC;
		q,dq:OUT STD_LOGIC);
END dtrigger;
ARCHITECTURE dtrigger_behavior OF dtrigger IS
BEGIN
	PROCESS(clk)
	BEGIN
		IF(clk'EVENT AND clk='1')THEN    --上升沿触发
			q<=d;
			dq<=NOT d;
		END IF;
	END PROCESS;
END dtrigger_behavior;

同步复位D触发器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY dtrigger IS
	PORT(d,clk,rst:IN STD_LOGIC;
		q,dq:OUT STD_LOGIC);
END dtrigger;
ARCHITECTURE dtrigger_behavior OF dtrigger IS
BEGIN
	PROCESS(clk)
	BEGIN
		IF(clk'EVENT AND clk='1')THEN
			IF(rst='1')THEN		--同步复位
				q<='0';
				dq<='1';
			ELSE
				q<=d;
				dq<=NOT d;
			END IF;
		END IF;
	END PROCESS;
END dtrigger_behavior;

异步置位D触发器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY dtrigger IS
	PORT(d,clk,rst:IN STD_LOGIC;
		q,dq:OUT STD_LOGIC);
END dtrigger;
ARCHITECTURE dtrigger_behavior OF dtrigger IS
BEGIN
	PROCESS(clk)
	BEGIN
		IF(rst='1')THEN
			q<='0';
			dq<='1';
		ELSIF(clk'EVENT AND clk='1')THEN
			q<=d;
			dq<= NOT d;
		END IF;
	END PROCESS;
END dtrigger_behavior;

同步复位就是在时钟边沿来临的时候,复位信号才有作用。而异步复位则是复位信号一旦有效触发器就立即复位,而不是等待时钟边沿的来临。

D锁存器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY dlock IS
	PORT(clk,d:IN STD_LOGIC;
		q:OUT STD_LOGIC);
END dlock;
ARCHITECTURE dlock_behavior OF dlock IS
BEGIN
	PROCESS(clk)
	BEGIN
		IF(clk='1')THEN
			q<=d;
		END IF;
	END PROCESS;
END dlock_behavior;
本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2019年04月16日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • D触发器
  • 同步复位D触发器
  • 异步置位D触发器
  • D锁存器
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档