前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >VHDL实现8选1数据选择器

VHDL实现8选1数据选择器

作者头像
zy010101
发布2019-05-25 19:55:08
1K0
发布2019-05-25 19:55:08
举报
文章被收录于专栏:程序员程序员

版权声明:本文为博主原创文章,转载请注明博客地址: https://cloud.tencent.com/developer/article/1433336

8选1数据选择器

代码语言:javascript
复制
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY mux8 IS
	PORT(data:IN STD_LOGIC_VECTOR(0 TO 7);
		addr:IN STD_LOGIC_VECTOR(2 DOWNTO 0);
		output:OUT STD_LOGIC);
END mux8;
ARCHITECTURE mux8_behavior OF mux8 IS
BEGIN
	PROCESS(addr,data)
	BEGIN
		CASE addr IS
			WHEN "000" => output<=data(0);
			WHEN "001" => output<=data(1);
			WHEN "010" => output<=data(2);
			WHEN "011" => output<=data(3);
			WHEN "100" => output<=data(4);
			WHEN "101" => output<=data(5);
			WHEN "110" => output<=data(6);
			WHEN "111" => output<=data(7);
			WHEN OTHERS => NULL;
		END CASE;
	END PROCESS;
END mux8_behavior;
本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2019年04月14日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 8选1数据选择器
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档