前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >基于FPGA灰度图像高斯滤波算法的实现

基于FPGA灰度图像高斯滤波算法的实现

作者头像
FPGA开源工作室
发布2019-10-29 10:28:22
1.5K0
发布2019-10-29 10:28:22
举报
文章被收录于专栏:FPGA开源工作室

基于FPGA灰度图像高斯滤波算法的实现

作者:lee神

1. 内容概要

高斯滤波是一种线性平滑滤波,适用于消除高斯噪声,广泛应用于图像处理的减噪过程。通俗的讲,高斯滤波就是对整幅图像进行加权平均的过程,每一个像素点的值,都由其本身和邻域内的其他像素值经过加权平均后得到。高斯滤波的具体操作是:用一个模板(或称卷积、掩模)扫描图像中的每一个像素,用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值。

对于高斯滤波的基础理论知识可参考:《基于FPGA的图像高斯滤波算法理论篇》。

2. 高斯滤波算法实现步骤

图1 高斯滤波5x5算子模板

图2高斯滤波3x3算子

1>串行像素形成3x3矩阵

(x-1,y-1)

(x,y-1)

(x+1,y-1)

(x-1,y)

(x,y)

(x+1,y)

(x-1,y+1)

(x,y+1)

(x+1,y+1)

f(x,y)表示(x,y)点的像素值。

g(x,y)表示(x,y)点经过均值处理后的值。

2>用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值

g(x,y)=(1/16)* (f(x-1,y-1)+2f(x,y-1)+f(x+1,y-1)

+2f(x-1,y)+4f(x,y) + 2f(x+1,y)+

f(x-1,y+1)+2f(x,y+1)+f(x+1,y+1))-----------------(1)

3> 用模板扫描图像中的每一个像素

图3 模板扫描图像机制

3. FPGA实现

首先将RGB图像转换成Gray图像

方法1:

图4 R/G/B lane形成灰度图像进行高斯滤波

方法2:

图5 Y lane形成灰度图像进行高斯滤波

源码:

形成3x3像素矩阵

利用公式(1)进行高斯滤波的实现

仿真代码:

产生行为480的循环数据。

仿真结果:

图6 形成3x3的图像矩阵

图7 高斯滤波的计算结果

实验结果:

图8 实验使用原图

图9 灰度图像

图10 灰度图像经过高斯滤波后的图像

总结:

至此,基于FPGA的三大图像滤波(均值滤波、中值滤波、高斯滤波)处理已经讲解完毕,其中的图像处理效果需要大家自己去实验,去对比。手机拍摄出来的毕竟有差距。

FPGA在前端捕获到数据后首先要对视频图像做一个预处理,然后根据噪声的来源,针对椒盐噪声进行中值滤波,针对高斯噪声进行高斯滤波处理,均值滤波在图像处理中也很常见。

推荐阅读

基于FPGA的灰度图像均值滤波算法的实现

基于FPGA的中值滤波算法的实现

基于MATLAB图像处理的中值滤波、均值滤波以及高斯滤波的实现与对比

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2018-01-24,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA开源工作室 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
相关产品与服务
图像处理
图像处理基于腾讯云深度学习等人工智能技术,提供综合性的图像优化处理服务,包括图像质量评估、图像清晰度增强、图像智能裁剪等。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档