前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >FPGA图像处理之行缓存(linebuffer)的设计一

FPGA图像处理之行缓存(linebuffer)的设计一

作者头像
FPGA开源工作室
发布2019-10-29 10:54:44
3.2K0
发布2019-10-29 10:54:44
举报
文章被收录于专栏:FPGA开源工作室FPGA开源工作室
FPGA图像处理之行缓存(linebuffer)的设计一

作者:OpenS_Lee

背景知识

在FPGA数字图像处理中,行缓存的使用非常频繁,

例如我们需要图像矩阵操作的时候就需要进行缓存,

例如图像的均值滤波,中值滤波,高斯滤波以及sobel

边缘查找等都需要行缓存设计。这里的重要性就不在

赘述。

FPGA实现

图1 行缓存菊花链结构

如图1所示,我们要设计n行同时输出,就串联n行。Line_buffer的大小设置由图像显示行的大小(图像宽度)决定。例如480*272 (480)。

intel shift register

设计源码:

Line3 IP设置:

图2 shift register IP

图3 参数设置

如图2,3所示,我们需要设计3x3的矩阵数据,就要同时流出三行的数据参数如图3所示设置。

仿真顶层:

仿真结果:

图4 整体效果

图5 一行数据

图6 两行数据

图7 三行数据

如图7所示,当三行数据全出来时,我们数据全部对齐,说明设计正确。

xilinx shift register

源码:

IP设置:

图8 IP设置参数

图9 Hierarchy

仿真顶层:

仿真结果:

图10 仿真整体效果

图11 三行数据同时对齐输出

至此我们完成了xilinx 和altera 的IP设计行缓存,但是此方法在某种情况下不够灵活,所以下节我们将推出fifo设计行缓存。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-04-28,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA开源工作室 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
相关产品与服务
图像处理
图像处理基于腾讯云深度学习等人工智能技术,提供综合性的图像优化处理服务,包括图像质量评估、图像清晰度增强、图像智能裁剪等。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档