首页
学习
活动
专区
工具
TVP
发布
社区首页 >问答首页 >在VHDL中,泛型映射赋值的RHS指的是什么?

在VHDL中,泛型映射赋值的RHS指的是什么?
EN

Stack Overflow用户
提问于 2018-10-11 23:45:17
回答 1查看 338关注 0票数 0

根据我对VHDL的理解,端口映射声明将是:

代码语言:javascript
复制
signal reset_n : std_logic;

...

port map (
    ...
    reset_n => reset_n
);

..。其中,端口映射分配的LHS是组件上的端口名称,而RHS是您在上面声明的信号。

但是对于通用地图,RHS指的是什么?

代码语言:javascript
复制
...
generic map (
    ...
    baud_rate => baud_rate
);

LHS是实体中的泛型字段,由RHS指定(对吗?),VHDL将值传递到泛型中。但是,如果RHS没有声明为信号,那么分配/连接到LHS的是什么?引擎盖下面发生了什么?

EN

回答 1

Stack Overflow用户

回答已采纳

发布于 2018-10-12 02:28:57

首先,LHS被称为正式的,RHS被称为实际的。

形式是指您在实体中声明的常量。

代码语言:javascript
复制
entity foo is
  generic (
    constant baud_rate : T_BAUD
  );
end entity;

actual在一般映射中与formal关联。在您的示例中,actual是一个常量,您可以关联:

  • 来自较高层的另一个通用常量
  • 在体系结构声明区域中声明的常量或在包中声明的全局常量
  • 表达式,包括文字。

示例:

代码语言:javascript
复制
entity e is
  generic (
    baudrate : T_BAUD
  );
end entity;

architecture a of e is
  constant BR : T_BAUD := 100 kBd;
begin
  inst1: entity work.foo
    generic map (
      baud_rate => baudrate
    );
  inst2: entity work.foo
    generic map (
      baud_rate => BR
    );
  inst3: entity work.foo
    generic map (
      baud_rate => 115.2 KBd
    );
end architecture;
票数 2
EN
页面原文内容由Stack Overflow提供。腾讯云小微IT领域专用引擎提供翻译支持
原文链接:

https://stackoverflow.com/questions/52764123

复制
相关文章

相似问题

领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档