我对这个主题还是个新手。 最初的想法是使用LFSR生成一个随机数。到目前为止,我已经使用c#开发了一种LFSR方法。一旦函数被调用,它总是返回相同的值。 为了在每次运行程序时收集不同的随机数,我必须进行哪些更改? int lfsr1()
{
int start_state = 5; /* Any nonzero start state will work. */
int lfsr = start_state;
int bit; /* Must be 16-bit to allow bit<
我认为最优雅的抓取唯一随机数的方法是什么?
此时,我需要随机的唯一数,我使用while循环来检查它是否不是唯一的,看看我以前是否使用过这个随机数。
所以它看起来是这样的:
int n = getRandomNumber % [Array Size];
for each ( Previously used n in list)
Check if I've used n before, if I have...try again.
有很多方法可以解决这个线性O(n/2)问题,我只是想知道是否有一个优雅的方法来解决它。试着回想一下MATH115离散数学,并记住老讲师是否涉及到了一个
最近,我反复地碰到了LFSR的概念,因为它与不同领域的联系以及它本身也很吸引人,所以我觉得这个概念很有趣。我花了一些精力去理解,最后的帮助是这个非常好的,比(一开始)神秘的要好得多。所以我想为一个像LFSR那样工作的程序编写一些小代码。更准确地说,这以某种方式显示了LFSR是如何工作的。下面是我在尝试了更长时间(Python)之后能想到的最干净的事情:
def lfsr(seed, taps):
sr, xor = seed, 0
while 1:
for t in taps:
xor += int(sr[t-1])
if
我在Verilog中实现了一个Galois线性反馈移位-Regiser(也在MATLAB中,主要是为了仿真HDL设计).它一直工作得很好,据我所知,我使用MATLAB计算CRC-32字段,然后将它们包括在我的HDL仿真中,以验证数据包已正确到达(用CRC-32填充数据),这将产生良好的结果。
问题是,我希望能够计算我在软件中实现的CRC-32,因为我将使用Raspberry Pi通过我的FPGA中的GPIO输入数据,但我一直无法这样做。我尝试过使用相同的参数的,但是永远不会得到相同的结果。
这是我用来计算CRC-32的MATLAB代码:
N = 74*16;
data = [round(ran
我正在尝试在SystemC中设计一个LFSR计数器,它应该看起来像这样:
我已经写了我的代码,但我认为lfsr.h文件中的模块shiftreg.h和lfsr-feedback.h之间的连接有问题,但我找不出问题所在。
我在终端上运行时收到以下错误消息:
Error: (E109) complete binding failed: port not bound: port 'top_p.LFSR_p.shiftReg_p.port_3' (sc_in)
In file: ../../../../src/sysc/communication/sc_port.cpp:231
main
我正在尝试做一个VHDL代码,目标是创建一个8位的LFSR并显示所有的随机状态,在一个循环之后(当最后一个状态是相同的种子值)它就停止了。但是我有一个问题,继续说:“循环必须在10,000个迭代内终止”。我用的是Quartus II-Altera。
代码:
entity lfsr_8bit is
--generic ( n : integer := 2**8 );
port (
clk : in bit;
rst : in bit;
lfsr : out bit_vector(7 downto 0)
);
end lfsr
可能重复:
嗨,
下面是我正在编写的一个小应用程序的完整代码-它返回一个字母数字代码的ArrayList。我遇到的问题是,当“逐步”遍历代码时,e.Result会被正确地返回,每个项目都不同。但是,如果我让应用程序在没有断点的情况下运行,我只会得到相同变量的多个实例:
public partial class AlphaNum : Form
{
public AlphaNum()
{
InitializeComponent();
// Initialise BackGroundWorker Reporting
ba
from collections import deque
import itertools
lfsr = deque([])
taps = []
i=0
x=0
y=0
test=''
for i in itertools.product([0,1],repeat=15):
lfsr = deque(i)
#print(lfsr)
while x < len(lfsr):
while y < len(lfsr):
taps = [x, y]
#print (lfsr)
entity LFSR is
Port ( clk : in STD_LOGIC;
en: in STD_LOGIC;
reset: in STD_LOGIC;
output_lfsr: out STD_LOGIC_VECTOR(2 downto 0)
);
end LFSR;
architecture Behavioral of LFSR is
signal lfsr : std_logic_vector(2 downto 0);
constant poly : s