我有一个硬件加速函数,它需要两个定点乘法实例。我目前的解决方案(下面的例子)要求每个乘法需要两个时钟周期;一个乘法步骤,然后第二个步骤,该值被切回原始输入字长。我希望延迟所需的周期越少越好。这是我目前的解决方案: signal a : std_logic_vector(7 downto 0); end if;
我有一个外设连接到我的altera fpga,并能够使用SPI从其中读取数据。我想将这个传入的数据存储到一个数组中,最好是一个浮点值。此外,我的计算机上有一个csv文件,并希望将数据存储在另一个数组中,然后在触发'start‘信号后,将两个数组相乘,并通过rs-232将输出发送到我的pc。对如何做这件事有什么建议吗?从外设读取数据的代码如下:always @(negedge SCL)
begin