腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
在
SystemVerilog
的
for
循环
中
使用
总线
的
正确
方式
?
、
我正在尝试用
SystemVerilog
做一个模块,它可以找到两个向量之间
的
点积,最多有8个8位
的
值。我试图让它对不同长度
的
向量更灵活,所以我有一个称为EN
的
输入,它是3位
的
,它决定了要执行
的
乘法次数。所以我
的
浏览 8
提问于2019-11-27
得票数 0
2
回答
SystemVerilog
:虚拟模块与虚拟接口
、
我知道
SystemVerilog
允许您通过将接口声明为“虚拟”来保存对
SystemVerilog
类
中
的
接口
的
引用。
总线
,是否也可以将模块声明为“虚拟”,以便在
SystemVerilog
类中保存对模块
的
引用?
SystemVerilog
接口
的
繁琐,只
使用
来自
SystemVerilog
类
的
旧
的
verilog-95风格
的
BFM?我只是认
浏览 0
提问于2020-02-29
得票数 0
1
回答
如何
使用
规则修改电线?
、
我已经看到过这样
的
情况,您可以声明一些regs并将连接分配给那些regs (然后您可以修改reg来修改连接吗?)valid_rg = 0; assign data = data_rg;wire [7:0] wire_a [7:0];reg [7:0] wire_a_rg [7:0]; assign wire_a[7:0] = w
浏览 7
提问于2022-02-26
得票数 0
回答已采纳
3
回答
如何在
systemverilog
中将多个输入连接线连接成一条连接线(除了一个hi-Z之外
的
所有连接线)
假设除一条输入线路外
的
所有输入线路都处于Hi-Z状态。我们想把这些线连接到一条线上(例如数据
总线
)。
在
SystemVerilog
中
如何做到这一点呢?
浏览 0
提问于2015-09-27
得票数 0
2
回答
分叉join_none
的
实际应用
我
的
问题是关于SV
中
的
fork.join_none结构。
使用
join_none不需要等待任何分叉
的
进程完成并继续执行非阻塞语句。我正在尝试一种
在
设计/验证过程中
使用
join_none
的
场景。
浏览 2
提问于2014-01-30
得票数 1
回答已采纳
2
回答
在这种情况下,for
循环
是如何工作
的
?
我不确定for
循环
将如何帮助计算输入
中
的
1
的
数目。always @(*) for ( int i= 0 ;i< 255 ;i++ ) end 谢谢
浏览 6
提问于2022-01-09
得票数 -1
3
回答
在
verilog
中
创建2-D网络数组
、
我应该如何声明一个二维Net数组以及如何
使用
它?module bin(a);endmodule
浏览 0
提问于2016-10-18
得票数 0
1
回答
基于C
中
“退出”标志
的
GLib主
循环
的
适当终止
、
我意识到这可能是一个新手
的
GLib问题,但是我很难找到解决下面问题
的
代码示例。所以
在
我走错路之前,我想听听你
的
建议。 我
的
代码监听D
总线
消息。一条D
总线
消息是一条“退出”消息,用于指示主
循环
关闭。如果主
循环
中没有其他任务,那么一个简单
的
解决方案可以是在下面的代码
中
调用g_main_loop_run(),并让D
总线
消息处理代码(这里没有显示)
在
收到“退出”消息时执行
浏览 0
提问于2018-01-08
得票数 4
2
回答
Verilog
中
的
Z代表什么?
我最近在一段Verilog代码中发现了类似这样
的
代码,我指的是写有限状态机。Bus = 'bzzzzzzzzzzzz1111; 这里
的
z是什么意思?
浏览 0
提问于2015-06-11
得票数 2
回答已采纳
2
回答
使用
接口数组
的
SystemVerilog
、
我正在尝试
使用
SystemVerilog
中
的
接口实现一个参数化
的
可综合
总线
多路复用器。下面,我有一个接口和多路复用
的
简化实现。,我尝试创建一个
总线
多路复用器,如下所示: bus_if master(), slave1(), slave2(); .N_SLAVES ( 2 )data_if ), .slave ( '{slave1, slave2 }) <-- Error h
浏览 41
提问于2020-02-26
得票数 0
1
回答
如何测试3位
总线
是否
在
1- verilog上设置了第一位
、
、
、
我正在尝试找出如何检查3位
总线
是否将msb设置为1,即1xx。当我检查bus==3'b1xx时,似乎没有发生任何事情。
浏览 31
提问于2021-01-04
得票数 0
回答已采纳
5
回答
如何在Verilog
中
编写具有可变端口数
的
模块
、
我想编写一个输入数可变
的
模块,即根据某些参数,结果是: input clk, input [LENGTHLENGTH-1:0] data_1, input [LENGTH-1:0] data_3//...是否可以
在
Verilog或
Systemverilog
中
这样做,或者我必须编写一个脚本,比方说用Py
浏览 4
提问于2016-02-02
得票数 4
回答已采纳
1
回答
如何在
SystemVerilog
中
定义共享同一数据
总线
的
多个模块
、
我试图理解如何在
SystemVerilog
中
定义引脚。、a vgaController,它主要接收来自ramController
的
数据,但也有一个命令模式,允许
使用
dataBus上
的
数据对其进行配置。ioData引脚
在
ramController
中
是双向
的
,但也需要是三状态
的
,这样当
使用
dataBus向vgaController发送命令时,ramController就可以“离开
总线
”。iDataCop
浏览 2
提问于2020-10-12
得票数 3
2
回答
如何在一个时钟周期内对二维数组
中
的
每个元素进行OR运算?Verilog
、
导线a-1:0阵列b-1:0; 如何对b个数组元素进行OR运算,并在1clk
中
得到a位
的
结果?谢谢
浏览 0
提问于2016-03-02
得票数 0
1
回答
VERILOG问题与结构
、
、
在
Verilog中
使用
struct有一个未解决
的
问题。这是我
的
代码: //typedef struct{bit Over_I;}reg_type; module Overload(rst_n,clockreg_type; begin end 我
在
浏览 3
提问于2016-04-19
得票数 0
1
回答
SystemVerilog
中
的
循环
积分算子
、
我目前正在从事一个
使用
SystemVerilog
-实数建模
的
混合信号IC设计项目。我必须将表达式参数转换为其集成形式。我知道
在
SystemVerilog
-A中有一个
循环
积分运算符idtmod(),但到目前为止,我还没有
在
Verilog-A中找到任何相等
的
运算符。 如果有人对这件事有任何了解,我将非常感激。
浏览 9
提问于2018-08-17
得票数 0
回答已采纳
3
回答
systemverilog
支持链表吗?
、
、
我尝试
在
systemverilog
中
实现
循环
双向链接列表类(只有一个标记节点)。列表本身看起来像预期
的
那样工作,但最终导致模拟器崩溃(破坏堆栈?)这让我想知道这是不是语言根本不支持
的
东西(
在
分配方面)?SV确实有一个“队列”结构,可以让它以相同
的
方式
工作(可能在访问和插入时间上都更有效)。 有什么想法吗?
浏览 2
提问于2010-10-27
得票数 1
1
回答
用
SystemVerilog
二维数组实例化VHDL实体
、
、
关于如何在VHDL和
SystemVerilog
之间传递2D数组
的
文档似乎很少。我
在
VHDL中有以下类型
的
端口: type my_array_t is array (natural range <>) of std_logic_vector我尝试过
在
SystemVerilog
中
使用
不同
的
数组类型组合(完全打包,完全解压),但都没有效果。请注意,
在
我
的
例子<
浏览 11
提问于2022-05-20
得票数 0
回答已采纳
1
回答
定点数
在
systemverilog
中
的
表示
我应该如何在
systemverilog
中表示定点数字,因为它不支持reg和logic.Is
的
定点数字,
使用
real数据类型,
正确
的
方法,或者我们可以
使用
任何不同
的
数据类型?我试着
在
systemverilog
中
做一个平方根函数,结果将是FP,例如sqrt(8) = 2.82。我
的
输入和输出(Sqrt)
的
数据类型应该是什么,这样我才能在验证时
正确
地检查小数点位置。
浏览 13
提问于2016-09-14
得票数 0
回答已采纳
2
回答
如何将msb:lsb范围定义为参数?
在
我
的
硬件
中
定义了大量寄存器,包含了位字段,因此我希望“命名”这些寄存器,并在
SystemVerilog
中
使用
它们
的
名称而不是msb:lsb格式访问这些位字段。所以,我做了一个新
的
包,在里面声明了常数参数,并尝试了那些描述范围
的
参数。因此,我提供了一个“混合”解决方案,即简单常量停留在包
中
,对于范围,我创建了另一个文件,其中包含宏:package VmeAddressMap; parameter SYS_INTCON
浏览 1
提问于2018-05-15
得票数 1
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
在FME中使用循环的另一种方式
保管和使用密码的正确方式
微信新表情的正确使用方式
Kubernetes 中模板化的正确方式-Kustomize
手机积分的正确使用方式你知道么?
热门
标签
更多标签
云服务器
ICP备案
对象存储
腾讯会议
云直播
活动推荐
运营活动
广告
关闭
领券