腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
在
Verilog
System
verilog
中
,
模块
中
端口
/
数组
端口
的
大小
和
数量
与
参数
相关
、
参考下面提出
的
问题 input [LENGTH[1]-1:0] data_2,); 我基本上想要这样
的
东西
大小
取决于从top传递
的
参数
,
端口
数也是如此。这可以做到吗?
浏览 2
提问于2017-07-14
得票数 1
1
回答
如何合成火箭系统?
我试着熟悉RISC-V ISA
和
火箭芯片。我已经设置了CONFIG=DefaultSmallConfig并使用make
verilog
命令
在
vsim目录中生成了
Verilog
代码。
在
设计编译器( Design,DC)
的
输出
和
系统综合方面,我有几个问题。 如何删除
与
调试
相关
的
端口
和
连接?我只需要综合核心及其外围设备,而不需要调试
相关
模块
浏览 2
提问于2017-08-28
得票数 2
回答已采纳
1
回答
Chisel:将单独
的
输入
和
输出
端口
映射到inout引脚
我正在从Chisel 3源代码生成
Verilog
,并使用UCF文件将
Verilog
的
顶级
模块
端口
映射到FPGA引脚。我
的
设计中有一组inout引脚(SDRAM数据引脚),它们
在
凿线侧必须表示为单独
的
输入
和
输出
端口
。问题是,我不能(AFAIK)然后将
Verilog
输入
端口
和
输出
端口
映射到同一个FPGA引脚(如果我直接编写
Verilog</e
浏览 3
提问于2016-12-05
得票数 4
1
回答
在
Verilog
中
声明向量
端口
而不指定它们
的
大小
在
Verilog
(2001?)
中
声明一个
模块
时,是否可以判断某些
端口
是向量而不指明它们
的
大小
?这里
的
目标是处理任意
大小
的
向量
端口
,,而不必通过
参数
指定
大小
。我知道我可以用
Verilog
编写类似于下面的内容,但是我想知道是否有一种方法可以去掉额外
的
WIDTH_DATA
参数
:#
浏览 0
提问于2018-10-31
得票数 0
回答已采纳
2
回答
verilog
中
的
Reg数据类型
我对
verilog
中
的
Reg数据类型有很大
的
困惑。我知道当我们需要在这个变量
中
存储一个值时,我们使用reg变量。例如,假设我们有4x1 MUX,我看到一些代码将输入创建为input b input doutput reg z我还可以使用速记符号来声明这些输入,如下所示是这样
的
吗?
浏览 0
提问于2020-05-01
得票数 0
1
回答
修改
verilog
模式缩进
、
、
、
、
我试图让
verilog
模式使用2个空格缩进所有内容,但decl
和
always除外。nil)这些是测试
模块
上
的
结果`define`endif 不正确
的
部分是
端口
和
参数
列表此外,count
的
声明
与
端口
声明对齐,这很奇怪。emacs 24.3.1,
浏览 6
提问于2015-06-23
得票数 10
3
回答
Specman
参数
化单位
、
、
我需要在
verilog
中
验证一个
参数
化
模块
,例如:该
模块
以不同
的
参数
值多次实例化。我需要生成一个SPECMAN单元,它可以获得变量/
端口
声明
的
常量
参数
。这些
参数
也用于逻辑计算。 我找不到一种方法来传递
参数
给一个幽灵单位,因为它可以
在
浏览 2
提问于2015-01-13
得票数 0
回答已采纳
1
回答
在
新类中使用现有Scala类[Scala Chisel]
、
、
、
这可能是一个非常基本
的
问题,但对于我
的
生活,我找不到答案。我有一个现有的逻辑
和
类: val io = IO(new Bundle{delay = 2 io.out := ShiftRegister(dand, delay)我需要将它包含在这个Class
中
,而不是一个常规
的
“
和
” clas
浏览 0
提问于2021-05-13
得票数 3
回答已采纳
1
回答
为什么这一行会出现错误:期望左括号( '(‘) [12.1.2][7.1(IEEE)]?
、
、
、
我有一个用于简单乘法器
的
Verilog
代码,如下所示,它需要两个32b输入,它们被分成两个(16-b MSB
和
16-bLSB)并乘以:begin W1_reg <= W1;end 代码
的
测试平台如下: 注意:输入是从两个具有32-b值
的
外部文本文件
中
读
浏览 53
提问于2022-04-20
得票数 -1
3
回答
在
verilog
中
创建2-D网络
数组
、
我试着用2-D网络
数组
写一个程序。但是当检查代码时,它会显示一个错误(预期为';',发现为'[')。我应该如何声明一个二维Net
数组
以及如何使用它?下面是我为验证而编写
的
简单代码(显示上面的错误)。input [0:1] a[0:2]; endmodule
浏览 0
提问于2016-10-18
得票数 0
1
回答
Verilog
模块
或VHDL实体
中
的
最大
端口
数
、
、
出于好奇,是否对
Verilog
模块
或VHDL实体
中
的
最大
端口
数有限制?
浏览 0
提问于2020-01-21
得票数 1
2
回答
具有
数组
实例
的
SystemVerilog数据流建模环加法器
、
cin(carries[i]), );endgenerate 现在,我试图修改加法器,以便保留一个加法器
数组
= adders[i].cout;// DIFFERENCES END HERE 然而,我从Verilator那里得到了一个ASSIGNIN错误(文档
中
写着这几乎肯定是一个错误,尽管技术上是合法
的
”)。
在
assign adders[0].cin = cin
浏览 5
提问于2021-12-03
得票数 0
回答已采纳
3
回答
在
SystemVerilog
中
包含防护
我有一个包含在include guards
中
的
verilog
头文件。它包含一些我用来在其他rtl文件
中
定义本地
参数
的
函数。此包含文件
在
模块
描述
中
引用(
模块
(
端口
实例化) ` include ...我一直认为,当
verilog
编译每个
模块
时,该包含
的
范围应该是该
模块
的
本地范围,并且它只会在
模块
内
浏览 11
提问于2020-02-22
得票数 1
2
回答
我们可以有一个自定义
模块
的
数组
吗?
我们可以有一个自定义
模块
的
实例
数组
吗? 例如:我们可以使用input [15:0] a; -这将创建一条总线。我们可以对自定义
模块
做同样
的
事情吗,比如DFF [15:0] d;,其中DFF是一个自定义
模块
?在这里,我打算创建DFF
模块
的
16个实例。
浏览 1
提问于2009-09-04
得票数 11
1
回答
在
Verilog
中
,这个语法是什么?
、
module exmaple(input a, b, input in[2:0], output d, e, output out[5:0]) 我是第一次接触
Verilog
,并试图理解input in[
浏览 2
提问于2011-12-19
得票数 1
回答已采纳
2
回答
rocketchip_wrapper.v
中
的
系统
模块
我指的是fpga
中
的
rocketchip_wrapper.v -zynq/common/对其进行某些修改,并为新
的
fpga定制它。
在
第136行上,正在对接
模块
系统(系统
system
_i (...))。这个系统
模块
在哪里定义
的
? 另外,AXI
模块
是从某个地方(ip核)导入
的
,还是完全
在
设计
中
定义
的
?
浏览 4
提问于2015-07-04
得票数 0
3
回答
SystemVerilog
与
verilog
模块
的
接口
、
我相信SystemVerilog在编码
中
是一个更高层次
的
抽象。是否可以将SystemVerilog
模块
与
verilog
模块
进行接口?
在
尝试集成它们时,它们有哪些方面应该牢记在心?
浏览 2
提问于2014-02-19
得票数 0
3
回答
如何修正错误:不能混合打包和解压缩类型?
、
、
我正在尝试用2:1
的
复用器构建一个4:1
的
复用器。每当我尝试输入命令vsim mux4_test.时,我都会收到一些错误
端口
“Z”
的
浏览 5
提问于2020-06-01
得票数 0
2
回答
在
Verilog
中
input
和
reg有什么不同?
、
我有一个电路,它
的
真值看起来像这样
的
A =BC+^C[(B and C) or (not C)]output A;wire w1, w2; not (w2, C);我
的
问题是为什么我们要写输入B,C;我们能写成reg B,C;吗?
浏览 6
提问于2018-08-24
得票数 0
1
回答
用SystemVerilog二维
数组
实例化VHDL实体
、
、
关于如何在VHDL
和
SystemVerilog之间传递2D
数组
的
文档似乎很少。我
在
VHDL中有以下类型
的
端口
: type my_array_t is array (natural range <>) of std_logic_vector)我得到
的
错误是“形式
端口
'my_input‘类型'my_array_t’
与
实际类型‘逻辑’不匹配”,输出信
浏览 11
提问于2022-05-20
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
spinalHDL生成verilog时对相关的元素剪裁控制
【例说】Verilog HDL 编译器指令,你见过几个?
SAST weekly verilog HDL简介
基2频率抽取FFT实现-16点
Verilog编程的要点
热门
标签
更多标签
云服务器
ICP备案
腾讯会议
云直播
对象存储
活动推荐
运营活动
广告
关闭
领券