腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
如何
使用
Xilinx
FPGA
RAM
?
、
例如 entity
xilinx
_TDP_
RAM
is ADDR_WIDTH : integer := 32; douta : out std_logic_vector(DATA_WI
浏览 18
提问于2021-07-11
得票数 0
2
回答
内存中的纵横比是什么意思?
Xilinx
FPGA
中的块
ram
和分布式
ram
有什么不同?谢谢
浏览 0
提问于2013-01-21
得票数 2
回答已采纳
1
回答
如何
在电源上获得
xilinx
FPGA
(spartan6系列) SRAM随机值?
、
、
Xilinx
FPGA
在电源
ram
IP将被初始化为0,而不是电子随机数,但我需要电子随机数。
浏览 2
提问于2016-07-03
得票数 3
1
回答
VHDL寄存器文件中的小变化会导致总体逻辑元素的巨大差异。
如果dataOut <= entry(readIndex);放置在process(clock)中,则
使用
的逻辑元素总数为:但是,如果dataOut <= entry(readIndex);放置在process(clock)之外,则会
使用
更多的逻辑元素为什么这种变化会导致更多的逻辑元素被
使用
呢?
浏览 1
提问于2014-03-13
得票数 0
回答已采纳
3
回答
系统Verilog初始进程编译错误
、
255]; begin end mem[in_wr_adh] <= in_dth;
如何
解决此问题?我不想以这种
浏览 2
提问于2015-02-25
得票数 0
1
回答
在Altera
FPGA
上的J1 Forth处理器上用两个单口
RAM
代替双口
RAM
、
给出了在
Xilinx
FPGA
上工作的奇妙的J1 Forth CPU ()。我正试着把它移植到Altera Cyclone II
FPGA
上。真正的问题是,J1 Forth会在运行时修改自己的代码吗?
浏览 0
提问于2013-10-15
得票数 2
1
回答
如何
将数据分配给内部输入端口
、
、
、
我有一个
FPGA
试图在同一芯片上读/写值到SDRAM。sdram看到的是IN,顶层看到的是OUT,否则。SDRAM“路径”被实例化并被带到顶层。这些路径没有方向。但是,我知道顶层读写sdram。
使用
IEEE.STD_LOGIC_UNSIGNED.ALL;--参见《用VHDL语言进行电路设计》第36页 port( -- ----------------------------------
浏览 21
提问于2019-04-12
得票数 0
1
回答
访问内部
Xilinx
FPGA
块
RAM
、
我正在为
Xilinx
Virtex-6
FPGA
PCI Express Gen 2评估/开发工具包SX315T X8编写设备驱动程序。我的操作系统是openSUSE 11.3 64位。包括以下功能:·支持对32/64位地址内存空间和I/O空间的单个DWORD有效负载读写PCI Express我正在尝试访问内部
Xilinx
FPGA
浏览 2
提问于2011-05-22
得票数 1
1
回答
如何
从原始内存转储启动
Xilinx
块内存?
、
、
、
我将
RAM
从我想要在
FPGA
上部分模拟的工作设备中丢弃。在
Xilinx
中,我
使用
核心生成器生成一个
RAM
模块。 现在有一个选项可以用COE文件初始化
RAM
。
浏览 4
提问于2016-10-03
得票数 2
1
回答
如何
禁用内核模块中的缓存内存
、
、
、
、
我目前正试图开发一个Linux驱动程序来
使用
在
FPGA
中开发的定制模块。为此,我
使用
Xilinx
和运行在两个ARM核上的Linux发行版,我的VHDL模块是在
FPGA
部分实现的,但这对理解我的问题并不重要。我的
FPGA
模块直接写入
RAM
,我想读取它用驱动程序编写的内容,但是由于缓存内存,我遇到了问题。驱动程序从缓存中读取,而不是为
RAM
读取,因此它读取旧的数据。为了定义
FPGA
可以写入的内存空间,我
使用
了kmallo
浏览 3
提问于2016-03-21
得票数 4
回答已采纳
1
回答
如何
使用
Xilinx
5/7的内存作为映射到x86-CPU地址空间的内存?
、
、
、
、
是否可以
使用
Xilinx
5/7的内存作为映射到Intel x86_64-CPU内存的虚拟和/或物理地址空间的内存,以及
如何
实现?作为最大限度,我需要
使用
统一的单地址空间,具有直接内存访问(DMA)的
FPGA
内存从CPU (如简单的内存访问CPU-
RAM
)。
浏览 6
提问于2013-10-04
得票数 0
4
回答
启动
FPGA
编程
、
我想开始
FPGA
编程。我一点也不知道
FPGA
是
如何
工作的。我想要一个开发板,不太贵,但它应该至少有40个I/O引脚。任何300美元的都可以。
如何
将我编译的“程序”存储在芯片上?我猜想芯片有某种形式的EEPROM来保存我的程序,但据我所读,它显然是存储在
RAM
中。我能买一个单独的
FPGA
芯片(不是一个完整的开发板)来生产吗?如果是的话,我怎样才能把我的程序上传到单独的芯片上?它是否以某种方式与开发板连接?
浏览 7
提问于2010-08-06
得票数 8
1
回答
将
FPGA
上的DSP片从用于乘法的HDL代码中瞄准
、
、
我刚开始讲
FPGA
。从我的Verilog代码中是否有针对
FPGA
DSP片进行乘法运算的指导方针?在我写乘法的地方,我该怎么写函数? 致以亲切的问候,
浏览 2
提问于2015-03-18
得票数 0
回答已采纳
1
回答
ASIC中的初始合成器可以吗?
、
对于常规
RAM
,我看到人们在Verilog中这样做,但是我被告知中的initial是不可综合的,我想知道工具之间是否有区别。或者,这只是用于内存初始化。initial beginend 这是专门针对ASIC而不是
FPGA
或
Xilinx
。
浏览 1
提问于2018-02-14
得票数 0
回答已采纳
3
回答
可分布式
fpga
设计
、
、
我是
fpga
编程的新手,我想知道
如何
让我的
fpga
设计具有可分布性。这是我脑海中的场景。我有一个计算机网络,每个计算机都部署了一个基于
fpga
的外围设备。我想定期更新外围设备上的
fpga
设计。我
如何
才能在不花大价钱购买软件许可证的情况下实现这一目标?一些细节:我的fpgas是
浏览 4
提问于2014-11-27
得票数 1
3
回答
VHDL:用同步读取正确推断单个端口
ram
的方法
、
、
什么是正确的,为什么要推断一个单一的端口
ram
与同步读取。type mem_type is array (0 to mem_len-1) of std_logic_vector(dw-1 downto 0); end process; end architecture然而,许多教科书将后期版本作为推断具有同步读取的单个端口
ram
的正确
浏览 0
提问于2019-08-09
得票数 3
回答已采纳
1
回答
linux设备资源编号?
、
、
一个包含
Xilinx
的I/O板连接到linux x86 PCI总线。
FPGA
的实现如下:连接之后,它声称x86现在将能够访问'MB处理器‘,’双口
浏览 4
提问于2012-12-24
得票数 0
回答已采纳
1
回答
在
FPGA
中存储数组
、
、
clk) begin n<=n+2;end我有
Xilinx
Spartan®-6 LX45
FPGA
板。它提供128M位ddr2
ram
和16M字节x4 SPI闪存用于配置和数据存储。 现在,我想将我的文件存储到
FPGA
板的内存中。那么我该怎么做呢?我是否必须
使用
IP核心来访问内存或通过任何其他来源?附言:这是我第一次,我在
FP
浏览 1
提问于2014-11-30
得票数 0
1
回答
多路输出块
Ram
的VHDL综合
、
if rising_edge(CLK_100Mhz) then for X in 0 to 6 loop Memory(X)(Y)(Address) <= DataI(X)(Y)(0); end loop;end if;我需要在我的数据密集型项目中
使用
块<e
浏览 1
提问于2015-03-25
得票数 3
2
回答
如何
实现inout参数?
、
、
我知道inout参数是什么以及
如何
使用
它们。假设我们有一个inout参数io,并希望创建一个双向静态
RAM
,如以下代码:USE ieee.std_logic_1164.ALL; END behavioral;io <= '1' WHEN wr = '1' ELSE 'Z'; 问:综合工具
浏览 1
提问于2015-01-02
得票数 4
回答已采纳
点击加载更多
热门
标签
更多标签
云服务器
ICP备案
对象存储
实时音视频
云直播
活动推荐
运营活动
广告
关闭
领券