腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
峰值
虚拟内存
:
quartus
ii
中
的
537
in
错误
、
我在
Quartus
II
版本14.1
中
编写了以下代码:USE ieee.std_logic_1164.all ; PORT (light IS f <= (x1 AND NOT x2) OR (NOT x1 AND x2);但是在计时模拟时,我得到了以下
错误
:
峰值
虚拟内存
:
quartus
ii
中
浏览 35
提问于2018-02-22
得票数 0
3
回答
VHDL:无法推断"c[0]“
的
寄存器,因为它
的
行为与任何支持
的
寄存器模型都不匹配
、
:
错误</
浏览 0
提问于2020-06-02
得票数 0
1
回答
VHDL -库不工作
、
、
我已经用VHDL在
Quartus
II
上创建了一个新项目,但是在运行它之后,请给出如下所示
的
错误
。你知道为什么吗?
错误
(10481):VHDL在test_VHDL.vhd(5)处使用子句
错误
:设计库"work“不包含主单元"std_arith”
错误
(10800):VHDL
错误
在test_VHDL.vhd(5):所选
的
名称在使用子句不是扩展
的
名称
错误
:
Quartus</
浏览 4
提问于2015-12-05
得票数 1
1
回答
Altera
错误
地说Modelsim没有安装
、
、
在Fedora 22 64位
中
用Modelsim安装
Quartus
13.0。运行夸特斯32位,因为我有很多很多问题,否则。然而,我可以启动
Quartus
,创建一个项目,合成它,启动模拟窗口并配置输入信号。然后,当单击启动Modelsim
的
按钮时,它将开始执行它
的
任务,但最终会以 模型was Altera没有被发现。请安装
Quartus
II
安装程序
中
包含
的
ModelSim-Altera,或者通过选择“模拟>选项> <e
浏览 4
提问于2015-09-14
得票数 9
1
回答
如何将此代码作为Nios硬件运行?
、
、
、
、
我有一个Nios 2项目,应该能够作为硬件运行在我
的
FPGA上,但如何?我已经构建了它,我可以在模拟器
中
运行它:我在BSP编辑器中选择了uart0但是当我把它作为Nios硬件运行时,什么都不会发生。你能告诉我该怎么做吗?它可以用
Quartus
II
程序员
中
的
一个系统加载FPGA:更新 可以在DE2板上运行操作系统,但是程序会执行得很快。>输出来自串行端口uart0,即9极D-子接触器.由于程序运行得如此之快,所以在
浏览 4
提问于2013-08-01
得票数 2
回答已采纳
1
回答
app
的
安装过程大声说我没有足够
的
磁盘空间,但我有
、
我正在尝试安装一个从互联网下载
的
应用程序(这是一个相当大
的
站点- 阿尔特拉,所以我不认为问题在于安装文件)。在终点站我跑了并在此过程
中
遵循指示。然后出现一个
错误
并停止进程,告诉我我没有足够
的
磁盘空间(它说我没有3.5 Gb
的
空闲空间,但我有30 Gb
的
空间)。看上去像这样
浏览 0
提问于2016-11-24
得票数 1
回答已采纳
1
回答
使用usb blaster
的
Cyclone
II
FPGA有源串行
、
、
我正在使用Cyclone
II
FPGA芯片对几个PCB进行自动化编程和诊断。理想情况下,我希望使用自己
的
程序对芯片进行编程,但我可以满足于命令行脚本。是否可以在不使用altera编程器
的
情况下将.pof文件下载到cyclone
II
?有没有使用usb-blaster
的
开源解决方案?
浏览 0
提问于2012-07-04
得票数 0
回答已采纳
1
回答
模型error Altera误差
、
、
、
、
我使用
的
是UbuntuLinux14.04LTS和Altera 15.0网络版,由于授权
错误
,我很难模拟我
的
设计。: Altera程序许可证信息
的
条款和条件:订阅协议,Altera
Quartus
II
许可证协议,信息:适用
的
许可协议,包括,但不限于,=off-模拟=on-tool=modelsim_oem-format=verilog test5 -c test5Info (204019):EDA模拟too
浏览 3
提问于2015-08-09
得票数 9
回答已采纳
1
回答
Quartus
无法使设计适合设备
、
我试图构建和编译我
的
i2c - hdmi控制器
的
设计,然而,当我第一次构建这个项目时,它给了我
错误
:正如您所期望
的
那样,我删除了组件(注释掉了它们),直到什
浏览 1
提问于2018-05-21
得票数 0
1
回答
不能推断"RunStop“
的
寄存器,因为它
的
行为与任何支持
的
寄存器模型不匹配(
Quartus
II
)
(单独
的
模块)
错误
(10821):HDL
错误
在AxisCounter.vhd(39):不能推断"RunStop“
的
寄存器,因为它
的
行为不匹配任何支持
的
寄存器模型
错误
(10821):HDL
错误
在
浏览 0
提问于2016-05-22
得票数 0
回答已采纳
4
回答
从命令行使用
Quartus
、
、
我正在尝试从命令行使用Linux (Kubuntu 12.04 LTS)上
的
Quartus
II
13.0 (免费Web包),以便从Verilog RTL生成Verilog技术网表。我需要在一个项目中比较不同工具为数千个生成
的
Verilog文件生成
的
合成结果。因此,使用GUI并不是一个真正
的
选择。例如,在Xilinx Vivado
中
,我可以使用以下TCL命令来完成此操作:synth_design -part xc7k70t -
浏览 6
提问于2013-07-03
得票数 5
回答已采纳
1
回答
Verilog问题与案例/总是陈述
、
、
我已经使用给出
的
示例代码为类编写了这个模块,但在编译时会出现
错误
--我认为这可能是由于我使用输入
的
方式(或者只是语法
错误
),所以我尝试使用数组来实现它--我
的
注释方法正确吗?我应该用串接吗?: 信息:运行
Quartus
II
64位分析和综合
错误<
浏览 5
提问于2016-02-02
得票数 0
回答已采纳
4
回答
Verilog语法
错误
、
(35)接近文本”b“
的
Verilog语法
错误
;预期";“
错误
”(10170):bcd_to_seven_seg.v(36)靠近文本“b”
的
Verilog语法
错误
;“预期";”
错误
“(10170):bcd_to_seven_seg.v(37)靠近文本”b“
的
Verilog语法
错误
;预期
错误
(10170):bcd_to_seven_seg.v(38)靠近文本”b“
的
Verilog语法
错误</
浏览 6
提问于2017-11-12
得票数 2
1
回答
VHDL:用
错误
编码划分,但在
Quartus
II
上编译时有
错误
,而在Xilinx ISE上没有
错误
、
、
、
您看,我们
的
教练告诉我们编码二进制
的
除法(首先将二进制转换成整数),如果除数为零,那么输出误差波形将在仿真中显示。中使用
的
"check语法“时,没有显示在抄本上
的
语法
错误
。但是,当我将此代码导入
Quartus
II
时,我在消息中发现了5个
错误
,特别是在这个消息
中
:
错误
(10818):无法在division_in.vhd(45)处推断"err“
的
寄存器,因为它不将其值保存在时钟
浏览 3
提问于2017-08-18
得票数 1
回答已采纳
1
回答
如何从
Quartus
中
的
项目文件
中
单独调试原理图文件?
、
我有一个
Quartus
II
项目被配置为与Cyclone
II
一起使用,我还有一个正在尝试使用模拟工具进行调试
的
设计。如果我能在我
的
设计
中
的
每个符号块后面插入输出引脚,以查看我搞砸了哪里,那就太好了,但是如果我这样做,
Quartus
会抱怨它不适合设备上
的
设计。我该如何克服这个问题呢?
浏览 2
提问于2017-04-21
得票数 0
1
回答
Xming未能显示
Quartus
II
9.0
、
、
试图在远程RHEL5 Linux (2.6.18-308.1.el5内核64位)框上通过SSH连接通过PuTTY 0.62和运行在Windows /Win7 7上
的
本地Xming 6.9.0.31 X服务器运行如果我使用Cygwin/X服务器,
Quartus
II
9.0将正确显示。 Xming X服务器在命令行上没有报告任何可见
的
错误
;它似乎只是在显示初始空白
的
白色框后挂起。这是已知
的
问题,Altera
Quartus
I
浏览 0
提问于2012-05-30
得票数 0
1
回答
MongoDB驻留内存
峰值
,映射内存消失
、
我正在尝试理解我在日志中看到
的
MongoDB内存使用模式。 这是一个运行MongoDB 1.8.3
的
独立实例。典型
的
内存使用量,而不是在一集期间(我只发现了较长
浏览 0
提问于2012-10-24
得票数 3
回答已采纳
2
回答
错误
(10028):无法解析网络
的
多个常量驱动程序...VHDL
错误
我想我对VHDL
中
的
一些概念缺乏基本
的
理解,但遗憾
的
是,我上网看了看,对我帮助不大。我仍然不知道软件可以接受哪些操作。在我
的
代码
中
,我目前在第一个进程中有一个上升沿检测器,它将dout提高到逻辑高电平。第二个过程检查dout是否为高,因此从5向下计数到0,并在0上将dout设置为逻辑低。
错误
:
Quartus
II
32位分析和合成不成功。7个
错误
,2个警告
错误
:
峰值
虚拟内
浏览 8
提问于2014-01-11
得票数 2
2
回答
NIOSⅡIDE太慢了
、
、
我已经在我
的
Linux机器上安装了
Quartus
和NIOS
II
IDE。最初,我尝试将一个现有的NIOS
II
项目导入Eclipse,但它只是坐在那里旋转,最终告诉我它不能导入该项目,因为它已经存在了。 我试着在Win10机器上安装所有的东西,然后导入OK项目。于是,我尝试在
Quartus
中
创建hello world NIOS处理器,并从零开始创建一个NIOS
II
项目。在使用Eclipse
的
过程
中
,每一步都非常缓慢,但最终还是起了
浏览 17
提问于2020-12-10
得票数 1
1
回答
VHDL -在计算泛型参数后调整端口大小
、
、
我希望调整
的
大小,一个实体端口,在VHDL
中
的
通用参数之后。下面是我
的
实体声明:use ieee.std_logic_1164.all;use ieee.numeric_std.all))) downto 0)end counter; 更具体地说,在实例化计数器实体时,我希望在函数nest natural(FLOOR(LOG2(Real(ticks))))之后调整f_v和z
的
大小代码会编译,但是当我试图生成一个符号文件
浏览 1
提问于2013-01-03
得票数 2
回答已采纳
点击加载更多
热门
标签
更多标签
云服务器
ICP备案
腾讯会议
云直播
对象存储
活动推荐
运营活动
广告
关闭
领券