腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
无法
在
systemverilog
中
使用
枚举
、
我发现的一件事是
使用
包并将typedef enum放入其中,然后将包导入到我的设计和测试平台文件
中
。但我正试图避免
在
我的测试平台中
使用
enum。有没有人能提个建议?
浏览 3
提问于2018-01-22
得票数 0
1
回答
使用
SystemVerilog
接口/结构的凿出输出
我发现当从Chisel框架生成Verilog输出时,
在
chisel框架
中
定义的所有“结构”
在
界面上都丢失了。或者
在
使用
Enum类编写Chisel代码时创建SV
枚举
。
浏览 4
提问于2018-11-08
得票数 3
1
回答
我能用它的数值设置
枚举
吗?
、
我想用数值设置一个
枚举
。下面的代码对于
SystemVerilog
合法吗?
浏览 0
提问于2013-10-10
得票数 4
回答已采纳
1
回答
uvm_component 'name‘属性的目的是什么?
在
代理内部,我看到了uvm_component的创建,就像 m_monitor=apb_monitor::type_id::create("monitor_name_aaa", this);在这里我们可以看到,
在
引用层次结构时,我们仍然需要将我
在
许多地方见过的说,最好的方法是将名称=‘m_’和m_monitor放在一起。如果这是真的,那么为什么不直接构建在
浏览 0
提问于2020-07-22
得票数 1
1
回答
是否可以创建由2种
枚举
数据类型组成的
枚举
数据类型?
、
假设我有两种
枚举
数据类型的命令`define CMD_1_VAL 32'hFACEFACE CMD_5 = `CMD_5_VAL是否可以创建一个
枚举
数据类型来封装已经定义的两个
枚举
数据类型,而不需要创建一个单独列出命令的新的
枚举
数据类型?/&
浏览 0
提问于2018-12-10
得票数 1
回答已采纳
1
回答
在
可合成的
SystemVerilog
中
使用
枚举
类型定义
、
, CMD3 = 2'b00基本上,如果MSB是1,那么它就是CMD1 (我将
使用
LSB作为索引的一部分)。然后我尝试
使用
always_comb进行解码:always_comb begin CMD1: isCmd1 = 1'b1; CMD2
浏览 15
提问于2019-06-27
得票数 2
4
回答
在
verilog中
使用
枚举
、
、
我正在用Quartus verilog (.v)编写一段代码,并尝试
在
我的模块
中
编写
枚举
类型: module Controller(clk, IorD); enum {READ, DECODE如何在verilog中
使用
枚举
?
浏览 197
提问于2019-10-02
得票数 1
回答已采纳
1
回答
在
Systemverilog
枚举
中指定X
、
我们是否可以将“x”值赋值给
枚举
的成员?如果是,那么它的优势是什么?如果不是,那么它的限制是什么?感谢你在这方面的帮助。
浏览 8
提问于2017-12-19
得票数 0
回答已采纳
2
回答
调试帮助: enum与reg端口不兼容。
、
在
我的模块
中
,我有一个名为Cmd的输出端口,它的类型是“reg”。end我还
使用
ty对联f定义了信号Cmd,如下所示,
在
另一个文件
中
。WRC,} Cmd_t;Interface intf (input clk); ...
在
我实例化模块的顶级文件
中
如果我可以
在
我的设计模块
中
驱动Cmd
浏览 4
提问于2016-12-06
得票数 0
回答已采纳
2
回答
Systemverilog
中
的访问
枚举
名称
、
我希望能够检索
枚举
中
类型的名称,而不必实际将变量赋值给它们。因此,给定这样的
枚举
typedef enum bit { TWOendclass... print(state.name());endclass 是否可以访问
枚举
的名称
浏览 4
提问于2019-09-25
得票数 0
回答已采纳
1
回答
理解verilog
中
的有限状态机
、
我正在
使用
Artix 7 FPGA并用Xilinx编写我的代码。有人慷慨到能帮上忙吗?能给我描述一下这里正在做什么吗?
浏览 7
提问于2022-05-03
得票数 0
回答已采纳
1
回答
VIM
中
的自动完备系统VIM
、
、
、
、
我
使用
VIM作为
SystemVerilog
的编辑器。我有三个问题。1.如何在VIM
中
启用
Systemverilog
文件的自动完成功能??3.怎样才能使折叠在VIM
中
? 我
使用
在VIM
中
为
Systemverilog
创建的插件
浏览 3
提问于2014-09-16
得票数 3
回答已采纳
1
回答
在
SystemVerilog
中
,虚拟类是否与抽象类相同?
、
、
请帮助我理解
SystemVerilog
.
中
虚拟类的需求 对于抽象类,我们可以
在
SystemVerilog
中
使用
接口。这两者有什么不同?
浏览 3
提问于2016-06-07
得票数 0
回答已采纳
3
回答
有没有办法
在
SystemVerilog
的结构
中
嵌入常量?
、
typedef struct { localparam exponent = -10;但是,这不是合法的
SystemVerilog
我研究了
使用
const int(非法),
枚举
(不限于struct的作用域,需要“存储”)。我可能会考虑只将int嵌入到结构
中
,并相信合成工具会注意到这些值只会被初始化,并将它们去掉,但一些合成工具很可能会忽略初始化。当然,肯定有一种更好的方法来将常量的范围限定为结构,这种方法
在
浏览 1
提问于2015-05-05
得票数 0
2
回答
如何在系统verilog
中
声明动态数组
、
我试图
在
SystemVerilog
源代码
中
声明一个动态数组,但得到的错误如下: 我
使用
的工具是ModelSim。
浏览 1
提问于2015-04-16
得票数 0
1
回答
SystemVerilog
-如何在编译时获取
枚举
类型的数量
、
我试图找到一种方法,在编译时获取enum类型
中
可能的
枚举
数量。我需要它来初始化一个
使用
枚举
类型的模板化类。 我很好奇是否有一个实用程序函数(或系统任务)可以提供此功能。它将类似于$size(),但用于
枚举
类型。然而,我似乎找不到一个函数来解决这个问题。在做了大量的研究之后,这似乎是不可能的。endfunction endclass 因此,对于变量weights,它是一个权重数组,其大小是
枚举
类型的数量。现在,由于$size()调用,它是32,但这是错误的;在这个特定的代码示例
中<
浏览 26
提问于2020-07-03
得票数 0
回答已采纳
1
回答
Icarus不知道如何解析localparam数组?
、
、
我正在
使用
v10的Icarus,Windows8.1,并且在编译一维数组时遇到了困难,例如: 0, 21840b01, 2'b00}, {2'b01, 2'b00, 2'b10},}; 当我试图
使用
iverilog
在
命令提示符(如
浏览 3
提问于2017-06-02
得票数 1
回答已采纳
2
回答
支持Verilog和
SystemVerilog
在
约西的手册里我读过 -sv2005 -sv2009 -sv2012C.113 read_verilog -从Verilog文件读取模块 这里有简明扼要的规范吗?如果没有,指导方针是什么?哪个Verilog和哪个
Sys
浏览 4
提问于2019-12-19
得票数 1
1
回答
我可以
在
systemverilog
中
的modport中
使用
ref参数吗?
但我
在
systemverilog
中找到了一些代码,即ref用于modport。 我可以
在
systemverilog
中
的modport中
使用
ref参数吗?就像这样,modport(参考文献xxx ),
浏览 1
提问于2015-06-25
得票数 0
回答已采纳
2
回答
SystemVerilog
:虚拟模块与虚拟接口
、
我知道
SystemVerilog
允许您通过将接口声明为“虚拟”来保存对
SystemVerilog
类
中
的接口的引用。input wire [15:0] data //insert design under test logic我只是好奇,是否可以省去
使用
SystemVerilog
接口的繁琐,只
使用
来自
SystemVerilog
类的旧的verilog-95风格的BFM?我只是认为如果你的DUT是
浏览 0
提问于2020-02-29
得票数 0
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
python 中的 numpy 库为什么无法使用
如何解决CAD中无法使用对齐命令的问题
在HTML中如何使用CSS?
【已解决】无法在Windows 11中扩展C盘
VS2019中无法使用GitHub提交的解决方案
热门
标签
更多标签
云服务器
ICP备案
对象存储
腾讯会议
云直播
活动推荐
运营活动
广告
关闭
领券