腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
2
回答
类型
定义
的
系统
Verilog
类型
定义
、
、
typedef enum提供了一种方便
的
方法来描述一组名称-值对。有没有办法将它们链接起来,以便在所有级别使用enum创建更深层次
的
结构?我想创建一个由a_t和b_t组成
的
变量c。这个是可能
的
吗?a_t b_t c; 所以在c
的
每个维度上,我都可以有enums。编辑:一些澄清-假设a_t、b_t和c_t是不可变
的
,因为它们是自动生成
的
。这样
的
枚举有成百上千种。我想根据需要创建更大
的
结构,因为自动生成它们
的
浏览 12
提问于2020-02-07
得票数 0
回答已采纳
3
回答
系统
Verilog
条件
类型
定义
、
有没有办法根据参数值有条件地在两种
类型
之间进行选择?_2_t type_t;end type_t my_signal; 正如您所看到
的
,我需要在else子句中执行与在if子句中相同
的
操作,但需要对不同
的
结构执行操作。这对我来说似乎是多余
的
,我很好奇是否有一种方法可以避免重复。
浏览 8
提问于2020-04-21
得票数 0
1
回答
为什么这一行会出现错误:期望左括号( '(‘) [12.1.2][7.1(IEEE)]?
、
、
、
我有一个用于简单乘法器
的
Verilog
代码,如下所示,它需要两个32b输入,它们被分成两个(16-b MSB和16-bLSB)并乘以:begin W1_reg <= W1;end 代码
的
测试平台如下: 注意:输入是从两个具有32-b值
的
外部文本文件中读取
的
。W1inar
浏览 53
提问于2022-04-20
得票数 -1
2
回答
Verilog
中SystemVerilog
类型
定义
的
等价物
、
在
Verilog
中有没有什么构造可以和SystemVerilog
的
typedef相媲美呢 我知道在SV中,我可以为
类型
定义
创建自己
的
名称,并在构建复杂
的
数组
定义
时使用它。我知道typedef在
Verilog
标准(即
Verilog
-1995)中并不存在。但有没有可能以某种方式绕过它?
浏览 0
提问于2016-02-16
得票数 0
5
回答
有没有人有关于VHDL和
Verilog
使用
的
定量数据?
、
、
VHDL和
Verilog
具有相同
的
用途,但大多数工程师都喜欢这两种语言之一。我想知道谁喜欢哪种语言。 关于
Verilog
和VHDL之间
的
分离,有许多神话和共同
的
智慧。(ASIC / FPGA、欧洲/美国、商业/国防等)如果你四处打听,人们会一遍又一遍地告诉你同样
的
事情,但我想知道这些神话是否基于现实。所以我
的
问题是:有谁能提供定量数据
的
来源来说明谁使用VHDL,谁使用
Verilog
?再说一次,我在寻找数字
浏览 11
提问于2011-02-11
得票数 13
回答已采纳
2
回答
系统
Verilog
中
的
基于参数
的
类型
定义
、
、
我希望在
系统
Verilog
中有参数化
的
typedef struct。 例如,对于8位和16位复杂数据,我可以对复杂数据
类型
使用参数化
的
typedef,而不是单独
定义
吗?
浏览 4
提问于2020-11-05
得票数 2
1
回答
如何使用编译器指令(``ifdef)和不同
的
` `define's编译文件?
、
文件a有基于是否
定义
了'b‘
的
编译指令。a.sv中
的
代码如下: module a_module()`ifdef b $display("This is compiled in file a"); end`incl
浏览 47
提问于2020-11-18
得票数 0
回答已采纳
1
回答
需要帮助在VIM中自动缩进
verilog
或systemverilog代码
、
、
if () beginc=d;else beginc=a;end
浏览 11
提问于2021-07-13
得票数 1
1
回答
SystemVerilog QuestaSim -将字符串传递给$fdumpvars以保存多个VCD文件
、
、
我试图在同一个initial begin中生成多个QuestaSim 2021.3中
的
VCD文件(最新)。我在QuestaSim用户手册中找到了这一节: 但是,我只能将"/hardcoded/path/ to /vcdfile.vcd“作为文件名传递,这对于单个VCD文件是正确
的
。这是我
的
密码: input logic clk, input logic [31:0] a, b, output logic [31我有
浏览 22
提问于2022-06-29
得票数 0
1
回答
用SystemVerilog二维数组实例化VHDL实体
、
、
我在VHDL中有以下
类型
的
端口: type my_array_t is array (natural range <>) of std_logic_vectorentity;wire [N-1:0] my_input_s[M-1:0];我相信这两种
类型
是完全等同
的
“形式端口'my_input‘
类型
'my_arra
浏览 11
提问于2022-05-20
得票数 0
回答已采纳
2
回答
具有
定义
宽度
的
SystemVerilog参数
、
、
我有下面的VHDL代码,它
定义
了一个特定宽度
的
常数。std_logic_vector(WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(16#0#, WIDTH));parameter WIDTH = 16但这似乎不适用于我
的
Verilog
编译器
浏览 2
提问于2016-02-16
得票数 0
回答已采纳
6
回答
跨语言共享常量
、
、
、
、
我有一个长长
的
常量列表,我需要在几个不同语言(
Verilog
,C,C++和C#)
的
项目中访问它们。与其在每种语言中重复它们,有没有好
的
方法来分享它们呢? 我唯一能想到
的
是一个文本文件和一个预处理脚本?这是最好
的
解决方案吗?还是有更简单/更优雅
的
方法?
浏览 0
提问于2010-08-24
得票数 11
3
回答
Linting:比较
Verilog
参数和常量字符串
、
、
我们有一个带有字符串参数
的
模块xxx。if (PAR == "abc") begin 有没有一个很好
的
解决皮棉问题
的
方法?
浏览 0
提问于2020-09-17
得票数 1
1
回答
Verilog
代码颜色丢失
、
我在Linux操作
系统
上使用gvim编辑器在
Verilog
上工作。由于
系统
故障,我丢失了一些文件。以前
verilog
代码
的
预
定义
变量过去是彩色
的
,但现在不是了。我怎么才能找回那些颜色呢?
浏览 4
提问于2012-07-10
得票数 2
回答已采纳
1
回答
如何在systemverilog testbench中使用makefile
的
-define参数?
、
、
使用"make“命令完成
定义
,如下所示:如何在我
的
系统
verilog
测试平台中使用这个
定义
?
浏览 18
提问于2017-06-27
得票数 0
回答已采纳
1
回答
什么是高密度脂蛋白合成中
的
“网络”
、
、
我是电路综合
的
初学者,我经常遇到网这个词,但我永远找不到它
的
标准
定义
。在我看来,它指的是任何一种“黑匣子”,在那里它接收输入并产生产出。所以它可以是一个大电路内部
的
一个子电路,也可以是一个门阵列。我
的
理解正确吗?
浏览 1
提问于2017-03-03
得票数 3
1
回答
系统
verilog
测试台中
的
VHDL无约束记录
、
、
、
有没有任何方法可以使用vhdl记录
类型
的
测试台信号?如果是这样,我如何约束systemverilog中
的
记录?我从Questa用户手册中收集了10.4
的
以下内容: 使用
浏览 0
提问于2016-10-21
得票数 0
1
回答
系统
Verilog
Enum
类型
分配
、
、
我
的
库中有一个通用模块,它在设计
的
不同地方被多次使用。endmodule my_type_t ope, res; .WIDTH ($bits(ope)) .a (ope), ); 当我编译时,我会收到VCS中
的
lint有什么简单<e
浏览 0
提问于2020-08-03
得票数 1
回答已采纳
2
回答
将无符号int转换为System-
verilog
中
的
时间
我
的
大部分
系统
-
Verilog
代码使用参数来
定义
不同
的
等待时间,例如:现在,因为我在我
的
文件中
定义
了一个时间尺度,所以我可以直接使用这些参数来引入等待周期:initial begin#HALF_SPI_CL
浏览 2
提问于2014-07-21
得票数 1
1
回答
zynq板上
的
PL PS通信
、
、
、
我正在开发一个打开Zynq板
的
应用程序。我开发了在ARM主机上运行
的
C代码,并为PL实现和综合了这些代码。我在PL和PS之间有数据传输。但是,我没有董事会。我想测试我
的
程序并评估我
的
系统
(资源,吞吐量和延迟,…)。谢谢
浏览 5
提问于2016-08-31
得票数 1
点击加载更多
相关
资讯
软件系统测试的定义和类型介绍
4.1 串类型的定义
Lua-基础类型定义
数据仓库系统:定义、组成、类型及选择策略
企业战略转型的定义和类型
热门
标签
更多标签
云服务器
ICP备案
腾讯会议
云直播
对象存储
活动推荐
运营活动
广告
关闭
领券