腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
Verilog中使用generate的环形振荡
器
、
我希望在Verilog中创建一个环形振荡
器
,使用逆变器和生成。
浏览 9
提问于2018-08-18
得票数 0
回答已采纳
2
回答
一段任意代码的
逻辑
合成
、
、
、
我已经完成了一个项目,制作物理
逻辑
门
,现在我正在寻找一种方法,把一个任意的程序变成一些
逻辑
门
,以便我可以使用。谢谢。我有所有的1位输入/1位输出门和所有2位输入/1位输出门。由此,我还可以构造一个
浏览 5
提问于2015-06-03
得票数 4
回答已采纳
1
回答
Verilog
门
定义差
、
、
这是Verilog上的两种半加法器定义。halfAdder1and(c,x1,x2);assign s=x1^x2;
浏览 1
提问于2017-08-03
得票数 0
回答已采纳
2
回答
如何在verilog代码中最小化路由时间
、
总计100.204 (23.729ns
逻辑
,76.475ns路由) 对于延迟情况的模块如下所示,如何对其进行
优化
以减少延迟并提高性能。
浏览 5
提问于2017-03-11
得票数 0
1
回答
Yosys将and和not gate解释为nand以实现可视化
test(a,b,c); output wire c;endmoduleread_verilog test.vYosys将assign语句解释为AND
门
和NOT
门
,并输出以下json: "creatorattributes": { "src": "t
浏览 2
提问于2018-10-10
得票数 1
6
回答
结构Verilog和行为Verilog之间的区别是什么?
正如标题中所述,结构Verilog和行为Verilog之间的主要区别是什么?
浏览 4
提问于2013-03-28
得票数 9
回答已采纳
1
回答
prolog
逻辑
门
聚合递归
优化
、
、
我正在尝试实现一个
逻辑
门类型聚合操作。我在编写一个在合理的时间内执行计算的实现时遇到了困难。我认为我所拥有的是合乎
逻辑
的,但它是非常缓慢的,我不认为它需要。(多到一个关系),因此我希望使用
逻辑
OR操作将探测列聚合到蛋白质列。 除此之外,一些蛋白质是蛋白质复合体或蛋白质集合的一部分。蛋白质复合物和蛋白质组除了含有蛋白质外,还可能包含蛋白质复合物或蛋白质组。我想把蛋白质集合建模为OR
门
和蛋白质复合物作为和盖茨。我把蛋白质、protein_sets和复合物统称为“实体”。
浏览 4
提问于2014-06-09
得票数 1
回答已采纳
1
回答
如何
优化
阀门仿真
逻辑
?
、
、
、
、
这是一个简单的
逻辑
编程和
优化
练习曲,我已经为自己创造了,并偶然发现它。 在其输出时,它有一个阀门,或一个
门
,G,可以打开或关闭,根据以下
逻辑
: 栅极只从储能
器
(如二极管)引
浏览 0
提问于2019-05-01
得票数 1
回答已采纳
2
回答
Verilog :此FF/Latch将在
优化
过程中进行修剪
我正在使用Xilinx ISE项目导航器(P.28d)为自动售货机编写verilog代码。我的代码出了什么问题?这么多警告,但没有错误。 input clk, input cancel, input sel2, input Rs_10, output reg product, output reg [6:0] retur
浏览 1
提问于2012-11-28
得票数 1
1
回答
Verilog二进制加法
、
在A和B上进行二进制加法,并将其与适当的进位位一起输出。我不确定如何实现进位位C是用于进位位的1位输出 input [3:0] A; output [3:0] O; assign C2 = A[0] + B[1];
浏览 0
提问于2015-10-23
得票数 2
2
回答
编写D触发
器
的更好方法
最近,我在verilog中看到一些D触发
器
RTL代码,如下所示: input d, input clk,
浏览 1
提问于2012-06-13
得票数 7
回答已采纳
1
回答
如何检查VHDL中的"ZZZZ“输入?
、
我有一个in std_logic_vector(3 downto 0)和一个out std_logic_vector(6 downto 0)。对于一个进程和一个案例,我正在检查所有可能的二进制条件,比如when "0000" => output_var <= "1111111";等等。我的程序工作所有指定的二进制组合输入输出在模拟。 我在以下情况下增加了这一行:when "ZZZZ" => output_var <= "0000000";,但这是行不通的,在模拟(Quartus)中,当输入为"ZZZ
浏览 1
提问于2017-07-22
得票数 2
回答已采纳
1
回答
Verilog总是阻止属性-顺序的还是组合的
、
我理解always块可以用来实现过程
逻辑
和时序
逻辑
。一个。,实现FF所需的
综合
工具的块属性是什么?我知道以下结果将导致FF: [...] 但是,我在寻找更深入的理解。
浏览 11
提问于2021-12-23
得票数 2
回答已采纳
3
回答
Verilog最佳实践-递增变量
方法A://some condition然后在顺序块中的某个位置:或者方法
浏览 2
提问于2011-11-05
得票数 6
回答已采纳
2
回答
增加每个
逻辑
门
的延迟
我正在学习和构建一些Verilog代码,但现在我最重要的是理解
逻辑
门
的延迟。我试着在每个
逻辑
门
中用5ns延迟来编码这个简单的组合电路,但我不知道是不是这样: assign #5 F = (B ~| C) ~& A; 在上面的代码中,是每个
逻辑</em
浏览 4
提问于2021-06-29
得票数 1
回答已采纳
1
回答
综合
与翻译的区别
最近,我遇到了描述一种语言向另一种语言转变过程的两个词--“翻译”和“
综合
”。我有一些建议,但我不知道有什么区别。例如,我们说C++被“翻译”成汇编程序(字符串被转换成另一个字符串),但是VHDL (三个抽象级别:行为、RTL和
逻辑
)从行为到RTL,从RTL到
逻辑
等等(
门
描述仍然表示为字符串,所以基本上仍然是字符串
浏览 0
提问于2015-05-29
得票数 0
回答已采纳
1
回答
布尔表达式的最小化
、
、
我正在寻找能够最小化布尔表达式w.r.t的算法或程序。结果表达式中使用的字符数。我知道常见的最小化算法,如Quine-McCluskey和Espresso,但它们总是产生可能比涉及巧妙括号的表达式长得多的析取范式。
浏览 0
提问于2016-11-22
得票数 1
1
回答
hdl中的流水线多路复用
、
、
然后我再添加一个阶段,用2to1和3to1以及适当的寄存
器
替换5to1多路复用器。在后一种情况下,时钟速度会下降。我不明白为什么添加寄存
器
和流水线阶段会丢弃时钟speed..any解释?
浏览 15
提问于2017-12-30
得票数 0
1
回答
Python
逻辑
门电路仿真
器
、
、
、
、
最近我对模拟
逻辑
电路产生了兴趣,因为我在学校上了一
门
电子学课。我在Python中为
逻辑
门电路创建了一个功能模拟
器
。我的实现是可行的,但我想知道它是否可以以任何方式进行
优化
或改进?
浏览 0
提问于2023-06-01
得票数 0
回答已采纳
1
回答
如果有关问题的唯一已知知识是适应度函数,那么最有效的
逻辑
电路
综合
算法是什么?
、
、
、
我正在开发一个程序,试图从NOR盖茨构建
逻辑
电路,给出一些适应度函数(logic_circuit,=>,fitness_value)。目前,我正在使用某种模拟退火(对
逻辑
电路图进行N个随机突变,如果适应度高于接受的当前解,则计算新方案的适应度)。
浏览 5
提问于2022-08-14
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
逻辑门芯片的用途有哪些?
逻辑门的种类以及符号表
逻辑门的七种基础运算法则
全量梯度下降-逻辑回归参数的优化
BIM管线综合优化的目的是什么?
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券