腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
视频
沙龙
1
回答
通过MAC地址追踪被盗的android平板电脑
、
我丢失了我的平板电脑,但我可以看到平板电脑连接到我的路由器上的网络: 📷 因此,我知道MAC地址,但我无法使用这些信息追踪我的平板电脑,在电池耗尽之前,我已经没有时间了。我怀疑它是偷来的,但在一定的距离内,由于信号质量显示为17%,而其他设备显示在50%以上,因此我怀疑它不是在很深的地方,就是在很远的地方,但仍然在网络中。 那么如何跟踪这个设备的XX...BE:FB呢?我还从路由器获得了平板电脑的IP入口,但对该IP的PING不返回任何响应,而是阻塞,即不返回命令提示符。 更新: 现在信号已经减少到8%,如路由器所示,我怀疑平板电脑处于待机省电模式,不允许太多的网络i\o。
浏览 0
提问于2015-03-30
得票数 1
1
回答
如何在python中识别处于冻结状态的进程?
、
如何在python中识别处于冻结状态的进程 我每天半夜在服务器上运行python文件,有时会进入冻结状态。这是在5或6天后发生的吗? Python脚本在运行过程中不会占用太多内存或加载,如果发布120个文档,则需要4小时。 以下步骤: 运行Python custom.py 1小时后,当运行中没有相同的脚本时,再次运行相同的python脚本。 如果脚本是以前的脚本仍然在系统中,那么相同的脚本不会终止。 但是是运行脚本进入冻结或僵尸状态的进程,那么下一次脚本将不会运行,因为扩散脚本仍在系统中。
浏览 3
提问于2015-11-03
得票数 1
1
回答
如何让我的web服务器通过公共ip提供服务?
、
、
因此,我最近在VirtualBox上安装了一个Ubuntu服务器16.04 web服务器(主机/主机操作系统是windows 10)。当我输入服务器本地IP时,它会带我进入apache/ubuntu默认网页,这是很好的。但是当我输入路由器公共IP时,它不会加载,我已经在路由器上设置了端口转发(见下面的图片)。所以,就像我说的,服务器在本地工作,我设置了端口转发,所以我真的不知道问题可能是什么,除非我没有正确地设置端口转发(我认为是这样的)。提前感谢! 📷 📷
浏览 0
提问于2016-12-17
得票数 2
3
回答
我应该为我的项目使用什么版本的ember.js?
、
我开始对ember.js进行评估,我已经确定了相当多的资源。我注意到的一件事是,有不同的方法来构建我的应用程序(例如,使用路由器或不使用路由器),并且选择实际上取决于ember.js的版本(框架似乎发展得非常快)。 我首先在ember.js网站上下载了参考版本(1.0.0-PRE2)。但是,如果我理解正确的话,ember.js网站指南部分中描述的内容对应于github上的代码库的最新版本。例如,我正在考虑新的路由器API。 所以,我想知道我在新项目中使用的推荐框架版本是什么。我应该使用github中的主分支吗?我应该坚持1.0.0-PRE2)吗?我正在尝试了解主机的稳定性/可靠性... 感谢您
浏览 1
提问于2013-01-08
得票数 1
回答已采纳
2
回答
更改路由器IP地址/默认网关
我正在升级我们的MPLS电路为我们的总部和所有的远程站点。在切换过程中,我需要同时运行两个路由器。如何设置两个默认网关?这有可能吗?让我知道你的想法和/或评论。 谢谢你,布莱恩·英格拉姆
浏览 0
提问于2013-04-05
得票数 0
3
回答
给定两个可用的频率,网络客户端如何选择wifi信号?
、
、
这可能是最基本的,但我不知道答案.给定一个路由器和一个客户端,它们都具有2.4G (更慢、更远的范围)和5.XG (更快、更小的范围)频率无线电(并且都在路由器上使用相同的SSID ),是什么决定了这对路由器使用的频率?客户端还是路由器?基于什么?(信号强度?数据吞吐量?) 我在考虑路由器,但我被告知是客户(由我的雇主的系统集成“工程师”)。
浏览 0
提问于2021-01-04
得票数 0
1
回答
从远程服务器执行ping
使用VS 2015和VB.net。 我有一个web应用程序,我想从远程服务器执行ping。服务器正在运行windows server R2 2012。 服务器有一个连接到它的路由器,它周期性地进入睡眠状态,在我们登录到服务器并启动对路由器的ping命令来唤醒它的那一刻。web应用程序将由不同的用户访问,单击一个按钮就会启动ping。web应用程序托管在我们的web服务器(而不是远程服务器)上,ping必须来自远程服务器,因为路由器的IP地址对于远程服务器是本地的,从其他任何地方ping都不可见。 在我的应用程序中,我想用代码来做这件事,但我不知道从哪里开始(我已经寻找了一段时间)。 我不是要代
浏览 16
提问于2016-08-31
得票数 0
1
回答
DHCP服务器优先级
、
、
我有一个由4台计算机组成的局域网,通过dir dir-300路由器连接.这个路由器有自己的dhcpd和IP adress 192.168.0.1,但我想使用一台计算机作为dhcp服务器。我将bind9安装到192.168.0.100机器上,禁用路由器自己的dhcpd并使其工作--现在其他计算机请求192.168.0.100的IP地址。但是如果我关闭这台计算机,网络就没有dhcp服务器了,对吗?那么,我是否可以将192.168.0.100指定为“主dhcp服务器”,而将路由器指定为“后备dhcp服务器”,这通常会忽略IP请求,但如果主dhcp服务器关闭,则可以工作吗? 对不起我的英语:\
浏览 0
提问于2011-08-10
得票数 -1
回答已采纳
2
回答
无线网络随机消失与路由器DLink DSL-2730 u
、
、
我有一个无线ADSL路由器DLink DSL-2730U。一切正常,只是无线网络有时会随机消失。当网络消失时,我还会看到一个具有SSID _DWR-116的网络,它几乎没有信号强度。这个网络只在配置好的网络消失时才出现,而且我几乎肯定这不是来自邻居路由器的微弱信号。这个症状出现在Ubuntu16.04以及我的Android手机上。Windows 8膝上型计算机几乎总是无法连接到网络,即使网络是可见的。
浏览 0
提问于2016-07-06
得票数 0
1
回答
服务器未发现问题
、
、
、
当我尝试加载这个页面时,我遇到了一个问题,也许是微不足道的问题:http://home.thep.lu.se/~torbjorn/Pythia.html,我改进了经典的'Server‘页面。我在Ubuntu12.04上使用。其他网页(google.com、askubuntu.com等)工作得很好。不加载在我的笔记本电脑上的页面,在通过同一路由器连接的其他计算机上工作得很好。我试过通过3种不同的路由器连接。尝试过“-f”和"sudo禁用“命令。也试过铬浏览器。是什么导致了这个问题?
浏览 0
提问于2014-01-08
得票数 0
1
回答
使用2个wifi文件来提高速度
我有一个调制解调器从我的供应商与无线和无线路由器。 两人在不同的频道工作,在不同的楼层工作。 但无论我选择哪个频道,有时无线信号的速度都会下降。重新定位调制解调器和路由器也没有帮助。来自邻国的其他设备太多似乎就是问题所在。 我有一个内置wifi适配器和一个usb wifi适配器。 绑定这些连接的最佳方式是什么?我从Usenet下载了很多,所以手动切换是没有选择的。
浏览 0
提问于2014-01-05
得票数 3
1
回答
在每个Ubuntu12.04安装过程中,都不会安装相同的驱动程序
、
、
我已经安装了几次Ubuntu12.04,在安装过程中有时安装了304版本的Nvidia驱动程序,有时安装了173版本。当然,我检查了DVD的完整性。我只是问它是否会引起一些问题,我应该担心,还是冷静?哈
浏览 0
提问于2013-08-14
得票数 0
10
回答
为什么我要在路由器上运行番茄固件?
什么是最有说服力的理由闪现你的路由器到番茄固件?
浏览 0
提问于2009-04-30
得票数 21
回答已采纳
1
回答
Modelica:识别不稳定/循环模式并发出警告
、
、
我试图创建一个通用警告块来识别设备循环。其目的是用户可以拖放这个Modelica块,并将其连接到传感器或设备上,该块将查找循环模式并在模拟日志中发出警告。 我创建了一个块,每次变量跨越范围时使用Modelica.Utilities.Streams.print和零交叉逻辑发出警告(限制每个限制交叉一次,并避免重复旋转,直到时间变量保持在给定范围之外)。 为了识别循环模式,我想用一个计数器来计算给定周期内的最大值和极小值。有更好的方法吗?
浏览 0
提问于2018-08-16
得票数 2
回答已采纳
1
回答
跟踪建筑物内用户位置的最佳方法
、
、
、
、
在不存在GPS的建筑中,跟踪用户最准确的方法是什么? 您能使用WiFi在给定的空间中跟踪用户吗? 用户将使用带有应用程序的智能手机(Droid,iPhone)与大楼中的服务器/路由器/位置系统进行通信。该系统将在自定义地图上显示建筑物中的用户及其位置。
浏览 1
提问于2013-06-07
得票数 0
1
回答
用于路由逻辑的FIFO控制信号及位提取
、
我目前正在用VHDL构建一个芯片上的网络路由器,以便在FPGA.Each核上实现一个64核的二维网格网络,它有自己的路由器,每个路由器都有5个端口(北、南、西、东、本地端口用于与处理单元通信),每个端口都有一个输入FIFO和一个输出FIFO。因此,输入FIFO与下一个目的地路由器的输出fifo和该端口的输出fifo与源路由器的输入fifo通信。 我的问题是,我没有使用有限状态机来控制FIFOS、写/读、可读和FIFO_FULL FIFO_EMPTY信号,而且我不知道必须在哪里使用这些信号才能使路由器正常工作。 我的FIFO是由xilinx核心发电机和同步FIFO产生的,它的输入信号是:时钟、数
浏览 3
提问于2012-06-12
得票数 1
回答已采纳
3
回答
高穿透功率WIFI路由器真的有用吗?
我脑子里总是有这个问题。 例如,FM电台和收音机播放器,来自广播电台的强信号可能会有所帮助,因为电台->播放器是单向通信。 但在WIFI的情况下,情况是一样的吗?来自路由器的高功率无线网络可能会有帮助吗? 如果路由器的wifi ->计算机很强,但是计算机wifi ->路由器很弱。 --不管路由器有多强,从计算机返回到互联网的网络反馈都会失败? 我理解是对的吗? So.....Repeater是解决弱信号问题必须解决的问题吗?
浏览 0
提问于2013-08-29
得票数 4
回答已采纳
3
回答
需要修复TP链路TL-WN781ND 150 LINK无线PCI网络网卡
我已经购买了一个TP链接TL-WN781ND 150 slow无线PCI网络网卡,并运行在Ubuntu最新版本14.04.2,我是非常缓慢的速度。 请查看有关我的网络和设备这里的所需信息。 但是当我使用Mac和Windows系统时,我的速度是很好的。在Ubuntu操作系统中出现的唯一问题。在Ubuntu中连接时,无线显示的范围非常小(仅显示4条中的一条)。 我的路由器模型:Cisco Linksys E900并设置为网络模式:Wireless-N only 升级内核提供:linux-generic is already the newest version. 使用:ethtool --chan
浏览 0
提问于2015-03-04
得票数 2
回答已采纳
3
回答
使用wlan路由器或接入点扩展wlan
、
、
、
、
我的愿望是扩大WLAN网络,因为失败的连接。 这意味着,“中央”路由器在局域网中提供互联网连接,而另一个路由器/接入点使用局域网连接通过WLAN传播它。局域网端口可在新的附加路由器/接入点的安装站点上使用。 什么是扩展WLAN范围的更明智的方法: WLAN路由器还是WLAN接入点?他们之间最大的区别是什么?使用WLAN接入点有什么优势吗?或者,如果配置正确,WLAN路由器也会这样做吗? 我应该从TL (TL-WR841ND)或WLAN接入点(TL-WA801ND)购买WLAN路由器吗?价格几乎一样。或者您对WLAN路由器/接入点有其他建议吗? 谢谢您抽时间见我!
浏览 0
提问于2016-05-05
得票数 -1
1
回答
Verilog中的sign extender不关心吗?
、
代码: module signextend(input wire [15:0] inputVal, output wire [31:0] outputVal); assign outputVal = {{16{inputVal[15]}}, inputVal}; endmodule 测试平台: module lastname_SignExt; reg [15:0] input1; wire [31:0] output1; signextend mySignExt(input1, output1); initial begin
浏览 16
提问于2020-11-23
得票数 0
2
回答
以太网电缆配置,有可能在电缆末端或中间连接一台计算机
、
、
、
我想知道这个以太网配置是否能工作。 以太网配置 当然,只有一个设备可以连接到套接字1或套接字2。 路由器和插座1之间的电缆有10米长。套接字1和套接字2之间的长度相同。 谢谢
浏览 0
提问于2016-06-04
得票数 -3
1
回答
无电缆Wi-fi聚类
、
在我看来,所有的无线网络都是有线的,路由器/VLAN或子网。 我正在尝试建立一个无线分布的本地网络系统,例如,区域中的一个路由器--A(100米距离)可以连接到区域-B(100米距离)的另一个路由器,从而形成一个单一的网络系统,所有连接到该网络的设备都可以共享数据。 我知道有人可能会说,路由器需要一些物理接触/访问,以形成一个单一的网络系统,但我听说Facebook正在这样做!Facebook正在使用飞机发布它的数据连接,我认为这些飞机没有连接到任何东西。 如果我错了,请纠正我。
浏览 0
提问于2016-11-19
得票数 1
回答已采纳
1
回答
时钟边书写的计时
最近,我重新开始编写verilog代码。我把写reg的时间和“总是”(时髦的时钟)混淆了。 是指在拥有后将网络上的值写入reg中吗?是将reg上的值写到reg中的方法吗?
浏览 1
提问于2020-02-28
得票数 0
1
回答
捕获linux中的所有信号
、
、
我正在尝试用C/linux编写一个忽略SIGINT和SIGQUIT信号并退出SIGTERM的进程。对于其他信号,它应该写出信号和时间。我在处理所有的信号时遇到了麻烦,因为我只熟悉捕捉一个信号。如果有人能帮我解决这个问题,我将不胜感激。下面是我的代码: #include <signal.h> #include <stdio.h> #include <string.h> #include <unistd.h> #include <time.h> int done = 0; void term(int signum) { if
浏览 2
提问于2013-10-27
得票数 4
2
回答
有6个路由器的无线网络
、
、
、
我有5个内部Wi路由器(DrayTek Vigor2110n)。 这些路由器旨在为开放区域内的网站访问者提供因特网连接,而不需要访问内部网络。(请参阅下图。) 如下图所示,单元的位置是:A楼窗户中的4个路由器和B楼中的第5个路由器,后者也向开放区域发送信号。 我建议的配置是: 使用相同的SSID将路由器配置为AP。 路由器将连接到一个交换机,交换机将分配一个专用的VLAN给他们和他们的无线客户端。 增加2个无线中继器(蓝圈),以加强来自单元2和4的信号。 我的问题是: 这个计划总体上看正确吗? 这种路由器能为无线客户端提供漫游吗? 是否有可能分配一个VLAN,它将使互联网接入而不访问内部网络?
浏览 0
提问于2012-05-16
得票数 1
回答已采纳
1
回答
用Python处理另一个线程中的信号
、
、
、
、
我有一个运行在两个线程中的PyGtk (GTK+ 3)应用程序: 线程是一个主要的应用程序线程,它执行Gtk.main(),从而处理Gtk的事件/信号。 线程B是一个PulseAudio事件线程,它异步处理所有PA的内容。 在某些情况下,需要让线程B的回调处理的事件在Gtk对象中执行。Python的问题在于,由于一次只能运行一个线程,所以不可能直接更改任何与Gtk相关的内容--这会导致死锁。 它的一个解决方案可能是调用Gdk.threads_init()以允许GIL为Gtk解除,但这似乎会导致争用条件,显然Gtk不够线程安全。 我想做的是‘平放’事件处理,这样线程B就会留下一些
浏览 0
提问于2013-12-30
得票数 1
回答已采纳
2
回答
每个无线局域网客户端都发送广播吗?
、
、
无线局域网-客户端知道什么时候发送,因为他们是在听之前说话。在我读到的许多描述中,如果其他客户端已经在传输他的数据,他们就会“听到路由器的声音”。 然后我偶然发现了“隐藏节点问题”,当客户端A&C与路由器B连接时,由于它们之间的距离而无法“听到”(f.e )。它们中的每一个都在另一边),因此它们在“监听”,没有听到任何消息(如果另一个客户端正在发送),因此只发送数据,结果会发生冲突。 好吧,但是如果他们只是“听到路由器的声音”,他们就会听到,其他的客户正在传输他的数据,他们之间的距离不会是个问题。 问:那么,所有客户端是否都在发送“广播”(向各个方向发送数据)?因为如果路由器可以自由地
浏览 0
提问于2017-10-15
得票数 2
回答已采纳
2
回答
如何判断用户在哪个页面上输入了应用程序?
、
我有一个Rails3应用程序,它由一个主站点和一系列品牌子域站点组成。我需要找出如何检查用户的入口点,以便我可以决定何时把一个链接回到主网站。 我如何在Rails中辨别某人进入站点的位置?
浏览 6
提问于2011-02-06
得票数 0
回答已采纳
1
回答
如何在c++中从父进程重新启动子进程
如何在子进程终止时从父进程重新启动子进程。在一个应用程序中,我创建了一个子进程,它有一个无限的while循环。应用程序在路由器中运行。当某个事件发生时,我的子进程就会终止。但是在重新启动路由器之后,一切工作正常。是否可以从父进程重新启动我的子进程(父进程始终有效)。 int main() { pid_t pid; pid = fork(); if (pid == 0) { while(1) { printf("Child process\n"); sleep(1);
浏览 104
提问于2021-08-10
得票数 1
3
回答
影响无线信号强度的用户数?
、
我想知道,当大量用户连接到路由器上时,路由器的无线信号强度会显著下降吗?或者,当大量的人试图连接到单个路由器时,是否会出现任何可能的问题?
浏览 0
提问于2016-05-18
得票数 0
2
回答
通过WIFI连接互联网
在我的办公室里,有一个互联网连接,其他计算机通过wifi路由器(D链路路由器)访问。我的家离办公室大约2公里。这是可能的连接办公室无线上网从我家与任何wifi适配器访问互联网。
浏览 0
提问于2015-10-09
得票数 1
1
回答
从WLAN端对移动设备进行WLAN三角测量,作为手机应用程序的姿势
我正在尝试从现有的WLAN路由器或创建定向天线来测量移动设备的信号强度。我想看看哪些特定的路由器正在从特定的移动设备获取最高的3-4个信号强度,并使用triangulaiton来收集它们的位置。有没有什么最好的方法呢?
浏览 0
提问于2011-09-21
得票数 1
1
回答
如何在QLabel (PyQt4)中插入QPushButton?
、
、
我想将按钮添加到pyqt4上的文本中。但我找不到任何解决方案或示例代码。
浏览 0
提问于2013-12-12
得票数 0
2
回答
如何从无线路由器捕获原始信号?
、
、
、
、
我现在已经看到了几个项目,它们从从典型无线路由器收集的无线电数据中获得新颖的空间信息: 将无线路由器用作一种无源雷达的想法非常棒。 我自己对实验从无线路由器收集的数据非常感兴趣,但关于如何实际与无线路由器交互并获得设备收集的原始信息流的信息很少。类似的问题以前也在这里被问过,但我还没有看到一个令人满意的答案。 我没有链接到其他问题所需的代表点,但请参阅: ‘像捕获声卡一样从WiFi卡捕获原始信号’ ‘原始wifi“信号数据”访问’ 我正在寻找一种可以让我使用低成本设备的解决方案,比如普通的WRT54G无线路由器。如果你的答案涉及自定义无线电硬件,你就不需要发帖了。
浏览 2
提问于2013-06-24
得票数 9
回答已采纳
1
回答
使用MAC过滤防止智能设备上网
、
、
如果我在路由器上使用MAC过滤来阻止智能设备(如IP摄像头)的互联网接入,那么它是否可以更改MAC地址以绕过这个问题并将数据泄漏到远程服务器?如果是这样的话,什么能有力地阻止互联网接入呢?
浏览 0
提问于2021-10-04
得票数 1
回答已采纳
3
回答
如何为短时傅立叶变换选择最佳窗口?
、
、
我想为不同的音频信号选择一个最佳的短时傅立叶变换窗口。对于频率范围从10 Hz到300 Hz的信号,合适的窗口大小是多少?同样,对于频率内容为2,000 Hz到20000 Hz的信号,最佳窗口大小是多少? 我知道如果窗口大小是10毫秒,那么这将给你一个大约100赫兹的频率分辨率。但是如果信号中的频率成分在100HZ到20000 Hz之间,那么10ms将是合适的窗口大小?或者我们应该选择其他窗口大小,因为信号中有20000 Hz的频率内容? 我知道傅里叶变换的经典“测不准原理”。你可以在时间上拥有高分辨率,也可以在频率上拥有高分辨率,但不能同时拥有两者。窗口长度允许您在两者之间进行权衡。
浏览 7
提问于2015-04-25
得票数 0
1
回答
能通过WiFi在路由器端通过.NET获得.NET手机的信号强度吗?
、
、
、
让我们假设这个网络布局:一个路由器,一个笔记本电脑(作为服务器),一个手机。 笔记本电脑和手机通过WiFi连接到路由器。 问题1:是否可以(使用.NET或Java)从笔记本电脑端获得该移动电话的dB或dBm(信号强度)值,而无需在移动电话端采取任何行动(除了与wifi的连接)?还是只有路由器制造商提供SDK才有可能? 问题2:路由器是否测量手机的信号强度?
浏览 5
提问于2015-01-04
得票数 0
1
回答
如何在多线程环境中处理SIGPIPE?
、
、
、
我有一个用C编写的多线程SSL服务器,其行为如下: 主线程等待客户端请求(epoll),接受它们,并为每个客户端套接字生成一个独立的线程。 每个线程分别执行SSL_accept。在成功的SSL握手之后,线程接收来自客户端的消息,并为每个SSL_read返回一条消息。 当客户端关闭套接字或发生任何错误时,客户端线程退出。 我试着模拟并发客户端连接来测试服务器。 在一次这样的模拟中,我的服务器发出了SIGPIPE信号。 是否有一种在线程级别捕获和处理SIGPIPE的方法?我希望各自的线程处理信号,而不是整个进程退出。 一开始我尝试过signal(SIGPIPE,SIG_IGN)
浏览 1
提问于2014-05-27
得票数 4
回答已采纳
2
回答
如果网站内容不是特定于国家,那么使用国家域(TLD)是否会对网站的搜索排名产生负面影响?
、
、
可能重复: 谷歌会惩罚.me或.tv网站吗? 我有一个网站,其中的内容不是特定的国家。TLD目前是.it,但该公司的主机和总部设在美国。我想知道一个.it域名是否会对网站的搜索排名产生负面影响。使用.com TLD更好吗? 例如(我实际上并不拥有这些域),域love.it的排名会比loveit.com差吗?(假设站点的内容相同) 我一直在到处寻找答案,运气不太好。任何建议都会很有帮助。
浏览 0
提问于2012-09-17
得票数 5
1
回答
Router滴落找不到模块的React路由器/lib/HashHistory
、
、
、
使用react路由器并通过通过,只要我得到Error: Cannot find module 'react-router/lib/HashHistory' 我一直在我的项目中添加如下内容 import HashHistory from 'react-router/lib/HashHistory'; 我用browserify做jsx compiling 在react路由器下检查node_modules中的lib文件夹我不认为任何必需存在。
浏览 3
提问于2015-07-22
得票数 3
回答已采纳
3
回答
建站主机为何那么差劲有啥办法吗?
我就是想装个wordpress,老费劲了,本来5分钟建站,现在是5个小时都建不好,phpadmin一直连不上,账号密码是正确的,无力吐槽,ftp连上2分钟,断线半小时连不上............这次用的体验真的差太多了 昨天气的去买了小野鸡主机商的30一年的主机,几分钟就完成了建站,ftp连接也稳定,同样是香港主机,为啥腾讯云大牌子体验这么差呢,有同感的留言下,如果是内部人士(工作人员),可以找我要账号体验一下,我是真的醉了
浏览 514
提问于2018-06-14
回答已采纳
4
回答
为什么使用wifi比以太网连接的ping时间要高得多?
、
、
、
最近我一直在与https://api.materialsproject.org的API作斗争。因为它在获取数据时是如此缓慢和不稳定。我以为这只是这个网站的问题。然而,我发现今天的情况并非如此。 我总是工作在我的笔记本电脑,它是连接到一个无线路由器。我只是碰巧尝试了另一台带有以太网连接的计算机,发现它获取数据的速度很快! 所以我在笔记本电脑上做了个ping测试。 禁用wifi并插入网络电缆,我得到了 PING api.materialsproject.org (104.18.41.120) 56(84) bytes of data. 64 bytes from 104.18.41.120 (10
浏览 0
提问于2022-06-14
得票数 0
1
回答
Wifi连接上下Kubuntu 13.04
、
、
、
、
目前我正在使用以太网电缆。 问题是,我的无线连接是上下颠倒的,有时它也能正常工作。我有一个网络列表可供选择,而不是连接,我的无线路由器的wpa2密码盒一直在弹出。 我在我的ASUS X501A上运行Kubuntu13.04,我假设我的驱动程序有问题,但我似乎无法精确定位问题。很抱歉,如果这是张贴在其他地方,任何帮助,我的问题将非常感谢,除了这一点,我喜欢的新版本。
浏览 0
提问于2013-08-09
得票数 1
1
回答
如何找到手机与无线路由器连接的距离?
、
、
我需要找出Wifi路由器和连接在Wifi中的设备之间的距离。Wifi是一个开放的wifi,所以可以有n个连接。 距离必须在wifi路由器而不是设备中跟踪。也可以这样做吗?如果是,那怎么做? 我们是否可以编写一个程序,并为相同的自定义路由器设置,如果是,我们如何实现它? 谢谢,尼基尔
浏览 1
提问于2018-10-28
得票数 0
回答已采纳
1
回答
S触发器(解锁)
、
S-R锁锁的操作让我很困惑。据我所知,产出Q和Q‘是由下列因素决定的: Q=R或Q‘ Q‘=S或Q 其中S和R是两个输入位: set和reset。 我不太明白两个输出是如何用另一个来定义的。如果每个输出都需要确定另一个输出的值,这是如何实现的?
浏览 3
提问于2014-04-07
得票数 0
回答已采纳
1
回答
模拟无线电波传播时多径干扰的消除
、
、
我正在创建一个射线追踪器来模拟来自一个简单路由器的无线电波的传播。 我假设光线的频率为2.4GHz,光速为2.4GHz。路由器的初始功率为1mW。 为了简单起见,我使用FSPL (自由空间路径损耗)来模拟信号随距离的退化。 当一条射线与一个点相交时,很容易计算出dBm中接收到的信号强度。你取射线所走过的距离,将它插入FSPL,并将结果从瓦茨转换为dBm。 然而,当2条或多条射线在给定点相交时,如何解决多径干扰的影响尚不清楚。我不知道,例如,无线电波的振幅是多少,或者是否有任何阻尼效应。有人能解释一下如何准确地模拟这一现象吗?
浏览 0
提问于2015-03-03
得票数 0
2
回答
我应该在不同的渠道为这个WiFi网络吗?
、
、
我不确定我们的3个路由器,在我们的wifi网络中,是否应该在不同的信道上(这样每个路由器都可以最大化每个信道的带宽)? 我们的办公室是通过WiFi联网的。它的形状是'L‘,是由4个房间组成的。这些房间中有3个是路由器。 A:亿BiPAC 7404 BiPAC互联网网关。VOIP设备。(固件: 6.03) B:华硕RT-N12 (固件: 1008)复读机模式 C:华硕RT-N12 (固件: 1008)复读机模式 ___ [ A ] [ ] ______ [ B ][ C] --- ------ “C”设备之所以在房间的另一端结束,是因为我们有一些通过以太网连接到设备后面
浏览 0
提问于2010-12-20
得票数 6
回答已采纳
1
回答
CISCO开发者路由器/固件
、
我想知道是否有思科路由器和固件供开发人员购买或下载,这样我就可以在它们上测试我的实现。 我正在开发一个固件插件,以提高双栈方法的安全性。因此,我需要一个路由器,它允许我访问它的固件,这样我就可以修改它来插件我的解决方案:)
浏览 0
提问于2013-09-19
得票数 6
回答已采纳
1
回答
PyZMQ和Django:来自不同视角的连接
、
、
、
我正在编写一个Django web应用程序,用户可以从每个视图执行不同的操作,当用户提交表单时,JSON会被推送到ZeroMQ并等待响应(REQ)。 我的问题是手术太慢了。我不知道是否会出现问题,因为我使用的是在VirtualBox中虚拟化的低性能Debian,但我认为问题在于,当我调用函数(参见下面)时,我每次都要执行一个新连接,连接需要花费大约5秒的时间。 def push(obj): try: context = zmq.Context() socket = context.socket(zmq.REQ) socket.setsoc
浏览 1
提问于2015-03-07
得票数 0
回答已采纳
1
回答
为什么我会收到"killall -q -USR1 udhcpd“错误消息?
、
、
、
、
我带了个路由器。它总是显示以下调试消息: killall -q -USR1 udhcpd 有人能向我解释一下这里发生了什么吗?为什么我会犯这样的错误?
浏览 8
提问于2014-10-22
得票数 0
回答已采纳
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
大众如何选择路由,大数据告诉你方向!
让我来告诉你该如何真正有效的提升WiFi信号
如何选购家庭宽带使用的路由器?
NS网络优化完全攻略,下载联机均有效!
网速卡顿不一定是运营商的问题!
热门
标签
更多标签
云服务器
ICP备案
实时音视频
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券