腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
Verilog
Testbench
无法
编译
-
多个
定义
当我
编译
测试工作台时,我得到了错误: Error (10228):
Verilog
HDL error at uart_tx.v(1): module "uart_tx" cannot be declared`timescale 1ns/10ps`include "uart_tx.v" //
Testbench
浏览 75
提问于2021-06-22
得票数 1
1
回答
ModelSim
Verilog
编译
器错误
、
我在
verilog
做了一个低通滤波器。我也为它做了一个实验台。主
verilog
代码的
编译
似乎没有任何错误。但是,当我试图
编译
testbench
时,我遇到了一个
无法
解决的错误。以下是代码:reg clk;reg clk_enable;reg reset; //some o
浏览 2
提问于2018-03-20
得票数 0
回答已采纳
1
回答
如何在Ubuntu中模拟
Verilog
代码?
想要执行
verilog
代码。有可能得到一个图形界面。
浏览 0
提问于2019-04-11
得票数 0
1
回答
将文件的完整路径提供给
verilog
参数
参数cdefile = "memory.hexraw“参数cdefile =“/home/mem/
testbench
/Memy.十六劳”,那么
verilog
模拟器就能够读取文件的内容,并且输出结果和预期的一样。我不想给出文件
浏览 7
提问于2022-05-04
得票数 -2
1
回答
寻找用于模拟包括可重构逻辑的设计的开源工具
、
、
我使用Chisel创建了一个漂亮的可重构逻辑数组,并发现自己
无法
测试得到的
Verilog
。我可以看到,通过将
Verilog
输出与Chisel源版本的
Verilog
进行比较,生成的
Verilog
很可能是正确的,其中消除了可能导致组合反馈的连接。
浏览 0
提问于2016-01-12
得票数 2
1
回答
如何用ModelSim自动模拟顶层VHDL实体?
、
在调用vsim命令时,如何使ModelSim自动使用顶级VHDL实体(或
多个
实体)?我正在编写一个运行VHDL模拟的通用脚本。目前,我正在执行以下操作来
编译
和模拟:vsim -c -do "onElabError resume; run -all; exit" MY_TB使用
Verilog
可以自动使用顶层模块:vsim -c
浏览 4
提问于2013-12-14
得票数 1
1
回答
通过混合使用C和C++生成.so文件
、
、
、
我有几个.c和.cpp文件,我希望将它们作为单个.so共享对象文件连接到System
Verilog
testbench
。我采用的方法是使用
编译
成单个.so文件的单独.o文件,然后在vcs
编译
期间将其与其余的SV文件一起添加。,但是当我尝试
编译
verilog
testbench
和libr.so文件时,我得到了“未
定义
的引用”
编译
错误。错误是对.cpp文件中函数的“未
定义
引用”。 我找到了几个示例,它们展示了如何将c或
浏览 84
提问于2021-08-24
得票数 1
回答已采纳
1
回答
verilog
中的对象符号
、
、
为了测试单个周期的mips cpu,我尝试使用下面的符号从
testbench
初始化寄存器。然而,Quartus
verilog
编译
器抱怨它找不到对象引用。是否支持上述内容?我在内存中加载指令时遇到了类似的问题,我不想在测试平台上这样做,而不是在IM中硬编码或更改它。
TestBench
.v CPU.IM.IMReg[i] = 32'b0; CPU.v//inputsout);
浏览 3
提问于2015-11-30
得票数 0
2
回答
Verilog
测试平台中
多个
同时独立的信号分配
、
1900 ns; load <= '1' after 2700 ns, '0' after 3000 ns;在
verilog
中,我们可以有一个初始块,它只分配一次值。也可以有
多个
初始块。我
浏览 4
提问于2014-06-02
得票数 1
回答已采纳
2
回答
错误:将忽略作为task.Return值调用的
Verilog
系统函数task.Return
、
作为task.Return值调用的
Verilog
系统函数task.Return将被忽略。 在
编译
verilog
测试时,我得到了上述错误,该测试基本上是在i2c上读取和写入值。我之前没有收到这个错误,我不知道发生了什么变化,这给了我这个error.Also这个错误指向另一个名为tb.v的文件,它包含了
testbench
基础结构。
浏览 3
提问于2013-03-29
得票数 0
1
回答
System
Verilog
嵌套关联数组
、
如何在系统
Verilog
中声明初始化嵌套关联数组?(t, timings["A"]); // t = {"B" : "C"}$display("%s", t["B"]); 上面的代码会导致
编译
器错误"
testbench
.sv" 2 "Syntax error. Unexpected token
浏览 43
提问于2019-04-16
得票数 0
回答已采纳
2
回答
Verilog
运行时错误与ModelSim
、
、
我在使用ModelSim学生版10.2c运行
Verilog
项目时遇到了问题。所有的
编译
都没有错误,但是我在运行时得到了以下错误:# Loading work.
testbench
# # Searched libraries: #C:/
浏览 8
提问于2013-11-24
得票数 3
回答已采纳
1
回答
这些错误在
Verilog
中意味着什么?
、
、
、
xmvlog:*E,DUPUNI:在文件"design.sv“和"
testbench
.sv”中
定义
的单元“design.sv”乘法。
浏览 9
提问于2022-05-28
得票数 -1
1
回答
在头文件中声明
Verilog
函数
、
、
、
当我试图
编译
一个
testbench
,其中包含一个包含函数声明的头文件时,Icarus (v10.0稳定)将中止,但有以下错误: mpeg.vh:133: error: function declarations但是,头文件实际上包含在模块(
testbench
)中。由于include指令应该被相应头文件中的文本替换,函数声明实际上包含在模块中(与错误消息声明相反)。我
无法
在
Verilog
LRM (IEEE 1364-2001,第10章)中找到这个问题的答案。
浏览 4
提问于2016-02-20
得票数 1
回答已采纳
2
回答
与
Verilog
仿真进行实时通信
、
、
、
我希望与
verilog
simulation实时交流,就像我在某个地方输入一个数字,
verilog
simulation可以读取并显示它。所以我找到了一种使用读/写文件进行通信的方法。然后我想,如果
verilog
保留fscanf文件,它就可以通信。我写了下面的
verilog
代码,它可以工作,但不是很好。如果我每次输入1~9一秒钟,它将丢失大约六个数字。我希望我输入的所有数字都能被
verilog
读取。我使用ncverilog进行
编译
。谁能告诉我如何修复我的
verilog<
浏览 5
提问于2013-11-14
得票数 3
1
回答
UVM :如何在虚拟界面中连接多维数组?
、
、
、
我有5个静态随机存取存储器实例(== 5个不同的2-D阵列)在DUT (都是
verilog
)。我想从UVM测试平台对这些阵列进行后门加载。在静态随机存取存储器阵列上有
多个
驱动程序,要么来自DUT本身,要么来自
testbench
(来自
testbench
,它主要是使用$readmemh的后门访问)。现在,我想要在UVM
testbench
中处理这些数组。因此,我创建了一个具有本地内存数组的虚拟接口,并将该接口实例化了5次。问题是,如何将DUT SRAM阵列与虚拟接口中的阵列连接起来?
浏览 1
提问于2014-07-21
得票数 3
1
回答
ModelSim:英特尔片上闪存IP:错误:(vsim-3033)实例化'altera_onchip_flash_block‘失败
、
在submodules/rtl文件夹中有一个altera_onchip_flash_block.v文件,但它只是十六进制的数字,所以它不能被ModelSim
编译
。# Time: 0 ps Iteration: 0 Instance: /ufm_
testbench
/ufm_inst/flash/onchip_flash_0 File: ../../FFB900_UFM/
verilog
/altera_onchip_flash.v Line: 30
浏览 90
提问于2021-05-03
得票数 0
回答已采纳
1
回答
Verilog
:不能附加寄存器作为输出吗?
、
我正在尝试
编译
我的模块,当我从
testbench
中删除badData寄存器时,它工作得很好。然而,当我添加它的时候,
verilog
抱怨“错误加载设计”。output [TOTAL_LENGTH-1:0] correctedWord, );
Testbench
浏览 2
提问于2014-10-26
得票数 0
回答已采纳
2
回答
在
Verilog
中使用参数时出错
、
我刚开始使用
verilog
来验证记忆。我在
testbench
中将地址宽度和数据宽度
定义
为参数,并尝试如下所示,这会给我一个错误:在下面测试工作台的某个地方,我调用了tasks: Read_mode
浏览 21
提问于2021-09-07
得票数 2
1
回答
用Modelsim编写测试平台
、
我试图用modelsim中的
verilog
语言编写一个测试平台。我已经为测试平台以及测试中的模块编写了代码。但是在
编译
它的时候,我收到一个错误,说
编译
失败了。test benchwire w1,w2,w3;test_xyz(w1,w2,w3);//现在,我们将
定义
我们在
testbench
模块中实现的模块module xyz(f,A,
浏览 7
提问于2015-01-26
得票数 0
回答已采纳
点击加载更多
相关
资讯
【例说】Verilog HDL 编译器指令,你见过几个?
能耗换取灵活性,今天的 FPGA 走错路了?
SAST weekly verilog HDL简介
贰 | 数据类型2 —— 定宽数组
浅谈FPGA
热门
标签
更多标签
云服务器
ICP备案
对象存储
实时音视频
云直播
活动推荐
运营活动
广告
关闭
领券