首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

开源的Bluespec SystemVerilog (BSV)语言表现如何?

Bluespec SystemVerilog (BSV) 是由Arvind 开发的 Bluespec 语言,这是一种高级功能 硬件 描述编程语言,本质上是Haskell(Haskell ( / ˈh...Bluespec的主要设计者和实现者是Lennart Augustsson。Bluespec 被部分评估(转换 Haskell 部分)并编译为术语重写系统(TRS)。...BSV 于 2003 年被 Bluespec 公司开发,期间是商业收费工具,到 2020 年它的编译器才开源,这才给了我们接触它的机会。...今天的核心是使用BSV设计一款游戏,看下在实际设计中BSV表现如何~ 使用BSV设计《太空入侵者》 绪论 2020年1月,Bluespec开源了bsc(Bluespec SystemVerilog Compiler...因此我安装了 bsc,这是一个编译器,可以对用BSV (Bluespec SystemVerilog)编写的设计进行高级综合。

59150
您找到你想要的搜索结果了吗?
是的
没有找到
领券