相同的命令:echo 1 > filename创建不同的文件名:
$ sh -c 'echo $LANG >=с=.sh' && ls *.sh | od -c
0000000 = 321 = . s h \n
0000007
和
$ bash -c 'echo $LANG >=с=.bash' && ls *.bash | od -c
0000000 = 321 201 = . b a s h \n
0000012
其中с是U+0441字符- 。很明显,sh吃
我对shell很陌生,如果我的问题太基础了,很抱歉。我正在使用Bash,下面是我尝试过的触发命令的不同方式:
ls // of course it works :)
/bin/sh ls // error, ")" unexpected
/bin/sh -c ls // work just like `ls`
那么,为什么/bin/sh ls不能工作呢?当我使用ls时,ls不是/bin/目录中的一个可执行对象,所以ls应该和/bin/sh ls一样,不是吗?
#!/bin/bash
for i in `seq 1 3000`
do
index=`snmpget -v 2c -c public -Oqv localhost 1.3.6.1.4.1.21067.4.1.1.1.$i`
done
for i in `seq 1 3000`
do
upload=`snmpget -v 2c -c public -Oqv localhost 1.3.6.1.4.1.21067.4.1.1.10.$i`
done
for i in `seq 1 3000`
do
download=`snmpget -v 2c -c public
我有两个字符串列表(每个字符串中都有空格),并希望逐个读取它们。字符串的长度相同,因此我通过"${!argument[@]}"获取其中一个字符串的长度,并尝试读取列表的元素。但它失败了:
arguments="--a 100 --b 200" "--a 100 --b 200 --c"
settings="without_c" "with_c"
for index in "${!argument[@]}"
do
setting=${setting[$index]}
a
我在执行make命令时创建了简单的内核模块。
📷
zeeshan@zeeshan-Y11C:~/modules$ make
make -C/lib/modules/5.0.0-31-generic/build/ M=/home/zeeshan/modules modules
make[1]: Entering directory '/usr/src/linux-headers-5.0.0-31-generic'
arch/x86/Makefile:146: CONFIG_X86_X32 enabled but no binutils support
./scripts/
我有几个Ruby脚本:a.rb、b.rb和c.rb。这些脚本是从相应的包装器外壳脚本中调用的:a.sh、b.sh和c.sh。
所有这些脚本都在分布式环境中:
`a.sh` and `a.rb` are on serverA
`b.sh` and `b.rb` are on serverB
`c.sh` and `c.rb` are on serverC
我需要编写一个脚本call.rb和它的包装器call.sh脚本,它应该检查当前在分布式环境中运行的所有脚本。
我的逻辑将决定我拥有的不同主机,以及如何与这些不同主机通信。
当任何Ruby脚本运行时,命令:
ps aux
显示:
ruby a.
我正在写一个VHDL代码来推动8位串行加法器与累加器。当我做模拟时,输出总是零!有时它会给我相同的数字,但有一个移位!我不知道是什么问题,我试着把A,B放入but,但也不起作用。有谁能帮忙吗。
代码如下:
entity SA is
Port ( st : in std_logic;
A,B: inout std_logic_vector ( 7 downto 0);
clk : in std_logic;
acc : out bit_vector(7 downto 0)); end SA;
architecture Behavioral of S
因此,我有一个脚本,我一直在努力得到输入的分数,然后它将计算它们的权重,每一节。最后,它会根据计算出的等级输出一个字母等级。我的代码是好的,直到if- each语句,在该语句中,我将开始在每个if-each语句的条件下获得非法数字的错误。
#!/bin/sh
#Calculate weighted grade and output grade letter
echo "What is your total Lab/Assignments grade?"
read labGrade
echo "What is your Midterm grade?"
read
我使用鱼壳,并希望能够用sh兼容的语法“源”一些shell脚本,而fish无法读取这些脚本。例如,很多软件都希望您可以获取一些shell脚本来导出有用的环境变量:
# customvars.sh
FOOBAR=qwerty
export FOOBAR
如果我不关心保存局部变量和函数定义,一个解决办法是使用exec将当前进程替换为/bin/sh,然后返回fish
# We start out on fish
exec /bin/sh
# Running a POSIX shell now
. customvars.sh
exec /usr/bin/fish
# Back on fish
echo
if [ "$1" = "" ]
then
echo -n "Gib das Startkapital ein: "
read kapital
else
kapital = $1
fi
echo $kapital
当我说kapital = $1时,它说这个变量不存在:
$ sh zins.sh
zins.sh: 16: zins.sh kapital: not found