腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
使用Verilog码的
FPGA
存储
器
verilog
、
fpga
、
system-verilog
我想把这段代码转储到spartan 3
FPGA
板中。那么,这个
存储
器将放在
FPGA
中的哪里呢?通用寄存器或块ROM
存储
器的
FPGA
?是否有必要为这么大的
存储
器编写代码来启用
FPGA
的块
存储
器?
浏览 4
提问于2017-03-25
得票数 0
回答已采纳
1
回答
在VHDL中初始化矩阵需要大量的类型逻辑单元。
vhdl
我试图用VHDL构建一个小游戏,因此,我需要使用两个std_logic元素矩阵。下面是我如何初始化我的两个矩阵: others => (others => '0') others => (others => '0'
浏览 3
提问于2021-04-27
得票数 0
回答已采纳
2
回答
FPGA
对内存的巨大需求
matrix
、
fpga
为了在
FPGA
中实现算法,我需要
存储
一个非常大的常量矩阵。我计算过,我需要一个大小约为30Mbit的ROM
存储
器,所以
FPGA
的内存是不够的。我在考虑使用任何连接到
FPGA
的外部设备。
浏览 1
提问于2017-01-11
得票数 0
1
回答
FPGA
的配置数量限制?
hardware
、
fpga
、
hardware-acceleration
我对
FPGA
的技术很感兴趣。我想买一个来测试一些算法的硬件实现/加速,但我认为我可以重新配置一个电路板或单个矩阵单元的次数肯定有硬件限制。有这样的限制吗?
浏览 3
提问于2011-06-15
得票数 3
回答已采纳
2
回答
如何在
FPGA
中永久
存储
数据和程序?
verilog
、
fpga
、
hdl
、
eeprom
、
spartan
据我所知,一旦
FPGA
断电,你必须对其重新编程。但我正在尝试使用verilog实现一个基于
FPGA
的安全系统。在这种情况下,我希望系统的密码是永久
存储
的,即即使断电,密码也不应该被擦除。如果程序也可以
存储
,那就更好了。我是现场可编程门阵列的一员。所以请告诉我怎么做。该设备是XC3S400斯巴达3系列。
浏览 8
提问于2016-03-19
得票数 3
1
回答
如何从HPS向
FPGA
发送浮点数?
floating-point
、
vhdl
、
fixed-point
、
intel-fpga
、
soc
我用的是Altera DE0 nano SoC
FPGA
。我想知道如何从HPS向
FPGA
发送一个浮点数。 让一个float ex_hps = 6000.9282;通过Avalon内存映射接口发送。如果Avalon_write_data address有32位数据长度(可以从Qsys设置),那么在
FPGA
端,这个数字
存储
在32位std_logic_vector中,对吗?或者如何用VHDL语言把这个数放回
FPGA
端的一个定点数ex_
fpga
(13 downto -14)?
浏览 15
提问于2017-07-28
得票数 0
回答已采纳
1
回答
如何将RTL综合中的时钟映射到内存中?
verilog
、
fpga
、
synthesis
我有一个用于对内存中的一组数据进行排序的代码。我想综合这段代码,但我有几个问题。我的代码只有一个时钟,它控制每个块,包括内存。然而,我想知道我是需要在整个合成代码和内存中映射时钟,还是只在其中之一中映射时钟。我尝试了不同的案例,但没有得到正确的答案。这是我的代码:input Go,input Clk,output wire [7:0] ReadData,output reg [7:0] WriteData,
浏览 3
提问于2016-04-05
得票数 0
1
回答
从prom加载数据所需的时间
vhdl
、
verilog
、
fpga
、
vlsi
我正在进行
FPGA
的多重引导,我正在发送一系列命令,中间我需要从PROM内存中加载数据。我指定了加载数据的地址。所以我的问题是,从内存加载位文件到
FPGA
fabric需要多少个时钟周期??
浏览 3
提问于2014-12-24
得票数 1
1
回答
用现场可编程门阵列将DE10标准写入DDR
memory
、
module
、
standards
、
fpga
、
intel-fpga
我需要做一个可以对DE10标准
fpga
板的ddr
存储
器进行读写的
fpga
模块。但是我不知道从哪里开始。有人能给我指个方向吗? 谢谢。
浏览 22
提问于2019-08-16
得票数 0
1
回答
如何在不使用PS逻辑的情况下将数据从
FPGA
写入DDR3
存储
器
fpga
、
xilinx
、
xilinx-ise
、
register-transfer-level
我使用的是zynq7000家族的
fpga
,我想把数据从我的
fpga
写到微米ddr3 sdram
存储
器,而不使用PS逻辑(只使用PL) --我对基于
存储
器的设计很陌生,我可以帮助使用PL或任何参考来设计逻辑
浏览 6
提问于2021-07-14
得票数 0
2
回答
如何编写vhdl程序在Zynq板的ddr
存储
器中写入数字数据
vhdl
我已经将一个ADC连接到zynq板上的
fpga
。所以我现在在
fpga
中有了数字数据。我想将这些数据
存储
在我的Zynq板上的ddr
存储
器中,为此我必须编写一个vhdl程序。任何帮助都是非常感谢的。
浏览 41
提问于2019-02-03
得票数 0
1
回答
试图将Linux内核移植到RISC-V火箭芯片上
u-boot
、
riscv
我们已经从www.denx.de网页上查找了u引导支持,但是我们无法找到对RISC-V的支持。那么我们在哪里可以找到对RISC-V芯片的u引导支持。注意:我们正在寻找的引导加载器不是用来模拟的。
浏览 1
提问于2016-10-05
得票数 0
1
回答
如何从Nexys视频A7下载程序?
fpga
、
xilinx
除了一门大学课程外,我对
FPGA
的世界还很陌生,我使用的是Altera培训板,但最近我被指派使用Xilinx视频A7
FPGA
做一些工作。由于这个项目涉及更新固件,我的第一个任务是下载现有的程序,目前是在
FPGA
上。然而,我在网上找不到任何描述如何这样做的东西。再说一次,我对
FPGA
世界很陌生,如果这是个愚蠢的问题,我很抱歉。谢谢你的帮助。
浏览 10
提问于2022-04-29
得票数 -2
回答已采纳
2
回答
如何用微控制器编写点阵iCE40超级程序
c
、
fpga
、
stm32f4
、
ice40
我试图用iCE40单片机编写一个stm32F4超级
FPGA
程序,并试图弄清楚如何将配置文件加载到微控制器上,以便通过SPI将配置文件发送给
FPGA
进行编程。我试图这样做,因为我希望不需要购买一个编程电缆,并包括闪存在我的PCB
存储
程序,因为我已经需要SPI通信之间的微型和
FPGA
之间的应用,我希望使事情更容易对我自己,可能做了相反的… 下面是我编写的发送文件的函数所有其他的时间来源于
FPGA
的编程行会。(
FPGA
_SS_GPIO_Port,
FPGA
_SS_Pin, GP
浏览 4
提问于2019-09-10
得票数 1
回答已采纳
1
回答
FPGA
DE1-SoC Cyclone V覆盖设备树
linux
、
overlay
、
fpga
、
device-tree
、
cyclone
00000我已经使用设备树源socfpga_cyclone5_socdk.dts,socfpga_cyclone5_ghrd.dtsi,socfpga_cyclone5.dtsi,socfpga.dtsi从
存储
库
fpga
-mgr = <&
fpga
_mgr0>;
fpga
-bridges = <&
fpga
_bridge0>, <&
fpga
_bridge1>,管理器和桥接器的消息是: [ 1.914104] <em
浏览 64
提问于2020-11-09
得票数 1
2
回答
如何处理与硬件更改有关的给定坐席?
c
、
linux
、
linux-device-driver
、
embedded-linux
、
fpga
我正在维护一个与.Earlier器件相关的生产代码,
FPGA
上的.Earlier电阻器是32位,读写这些寄存器都是工作的,fine.But硬件也在改变,所以
FPGA
器件和最新版本的
FPGA
器件在读写.After时遇到了困难,我们知道
FPGA
寄存器不再是32位,现在是31位寄存器,也是被
FPGA
设备厂商声称的。
浏览 1
提问于2013-10-05
得票数 0
1
回答
通过
FPGA
从单片机上通过spi向DUT发送256位
memory
、
fpga
我设计了一个程序,从单片机(与SPI协议)接收32位,而
FPGA
获取这32位并
存储
在寄存器(32位)中,然后将它们发送给DUT。我可以简单地将它们保存在长度为256的寄存器中吗??还是应该除以256,并将它们保存在不同的寄存器中?所以,我主要想知道,当我们接收数据并通过寄存器
存储
在
FPGA
中时,我们有什么样的限制。 提前谢谢你。
浏览 15
提问于2022-07-28
得票数 -1
回答已采纳
1
回答
购买的编程EP2C35F672C6
FPGA
configuration
、
hardware
、
vhdl
、
fpga
、
intel-fpga
我是
FPGA
和电路板开发的新手。本学期,我学习了Quartus II、VHDL和
FPGA
。我已经上传了几个基本的设计到上,上面有一个EP2C35F672C6N FGPA。他们的特殊电路板可以用来配置独立的
FPGA
吗?谢谢。
浏览 0
提问于2013-04-09
得票数 0
回答已采纳
3
回答
FPGA
的加密是如何工作的?
encryption
、
hardware
我知道Altera的FPGAS使您在使用RTL代码时能够加密它,但我无法理解为什么它是真正加密的,因为一旦我有了
FPGA
,我就可以拿走它,用flash阅读器(理论上)读取编码的RTL代码,读取
FPGA
的密钥
浏览 0
提问于2012-02-22
得票数 6
回答已采纳
4
回答
启动
FPGA
编程
io
、
fpga
我想开始
FPGA
编程。我一点也不知道
FPGA
是如何工作的。我想要一个开发板,不太贵,但它应该至少有40个I/O引脚。任何300美元的都可以。 如何将我编译的“程序”
存储
在芯片上?我猜想芯片有某种形式的EEPROM来保存我的程序,但据我所读,它显然是
存储
在RAM中。我能买一个单独的
FPGA
芯片(不是一个完整的开发板)来生产吗?如果是的话,我怎样才能把我的程序上传到单独的芯片上?它是否以某种方式与开发板连接?
浏览 7
提问于2010-08-06
得票数 8
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
浅谈FPGA
FPGA入门
FPGA简单入门
FPGA、DSP、ARM比较
FPGA/CPLD教程资料
热门
标签
更多标签
云服务器
即时通信 IM
ICP备案
云直播
实时音视频
活动推荐
运营活动
广告
关闭
领券