腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
icarus
verilog
中
的
多维
数组
端口
支持
、
、
我尝试在systemverilog代码中使用
多维
数组
作为
端口
。顶部模块是一个三维
数组
,而子模块是一个二维
数组
。]); for (i=0; i<10; i=i+1)begin end endmodule 我试图用
icarus
verilog
编译这段代码,但失败了,错误如下。/elaborate.cc:1439: failed assertion rval_ne
浏览 70
提问于2020-04-25
得票数 0
回答已采纳
1
回答
Icarus
Verilog
:多位阵列解析错误
、
、
Icarus
中正确
的
多位
数组
声明是什么?我在这个代码input [19:0] array [0:9];
中
得到了一个解析错误,但是当我尝试使用input [20*10-1] array;时,没有解析错误,但是我
的
输入/输出中有错误。
浏览 3
提问于2014-12-11
得票数 0
回答已采纳
2
回答
icarus
(iverilog编译器)
的
SystemVerilog
支持
、
、
、
、
我在Mac上使用iverilog,编译一些包含always_ff和always_comb块
的
代码有问题。ModelSim编译这些代码没有任何问题。是否可以配置iverilog以
支持
always_ff和always_comb块,或者编译器不
支持
它们?
浏览 3
提问于2017-04-24
得票数 3
回答已采纳
1
回答
无法在
Verilog
中
创建“real”类型
数组
、
、
、
我尝试过在
Icarus
Verilog
中用以下方法创建一个'real‘类型
的
值
数组
: parameter width = 10; shortreal b [width-1:0] = {0.0181,0.0487,0.1227,0.1967,0.2273,0.1967,0.1227,0.0487,0.0181我查看了
icarus
verilog
src代码错误消息,对此错误消息
的
解释是“特殊情况:l值是整个内存,或
数组
切片”。实际上,这是l值<
浏览 148
提问于2020-10-28
得票数 1
回答已采纳
3
回答
在
verilog
中
创建2-D网络
数组
、
我试着用2-D网络
数组
写一个程序。但是当检查代码时,它会显示一个错误(预期为';',发现为'[')。我应该如何声明一个二维Net
数组
以及如何使用它?下面是我为验证而编写
的
简单代码(显示上面的错误)。input [0:1] a[0:2]; endmodule
浏览 0
提问于2016-10-18
得票数 0
1
回答
Icarus
verilog
: reg显示;不能由原语或连续赋值驱动
、
我正在尝试将一些
Verilog
源代码移植到SystemVerilog。这个问题是针对
Icarus
的
(用10.3和11提供了相同
的
结果进行了测试),因为我
的
工具没有任何错误(例如Yosys 0.9.0,Cadence Xcelium 19.09)。subout值,并将其连接到main
中
,以便将其传递给subin。我在iverilog <file-with-above-content>
中
遇到
的
错误是: iverilog_issue.sv:35:
浏览 3
提问于2020-11-06
得票数 1
回答已采纳
1
回答
如何在
verilog
中
声明输出
数组
?
、
、
我正在尝试添加两个
数组
,并希望输出在
verilog
代码
中
的
数组
。但是发生了错误。这是错误:HDLCompiler:1335: Port sum不能在
verilog
代码
中
声明为
数组
。谁能告诉我如何在
verilog
代码
中
声明输出
数组
?谢谢。
浏览 1
提问于2014-11-17
得票数 1
2
回答
如何在Mac OS X 10.6.8上编译和模拟
Verilog
程序?
、
、
我被要求模拟
Verilog
程序作为我教学大纲
的
一部分。但是,我
的
大学使用
的
是Xilinx ISE,它不能在Mac上使用。 所以请帮我找出最好
的
软件,还有一些关于如何安装和使用它们
的
详细步骤。
浏览 10
提问于2013-10-15
得票数 7
1
回答
Icarus
不知道如何解析localparam
数组
?
、
、
我正在使用v10
的
Icarus
,Windows8.1,并且在编译一维
数组
时遇到了困难,例如: 0, 21840, 43680,};localparam [1:0] B[0:5][0:2] = {
浏览 3
提问于2017-06-02
得票数 1
回答已采纳
1
回答
Verilog
数组
赋值
、
clock;assign result= a[0]+a[1]+a[2]+a[3];我试图在
Verilog
中
执行
数组
声明,但它显示了一个错误: 带有未打包
数组
的
函数参数需要systemverilog扩展。我
的
阵列怎么了?
浏览 0
提问于2016-05-16
得票数 2
1
回答
在
Verilog
中
,这个语法是什么?
、
module exmaple(input a, b, input in[2:0], output d, e, output out[5:0]) 我是第一次接触
Verilog
,并试图理解input in[
浏览 2
提问于2011-12-19
得票数 1
回答已采纳
1
回答
verilog
:使用typedef enum遇到问题,使用ATOM编译到tinyfpga bx上
、
我正在尝试创建一个简单
的
程序,使用typedef enum在一个7段显示器上从1数到4。我尝试过编译,但一直收到错误消息,说我
的
typedef enum {IDLE, S1, S2, S3, S4} state_type;和state_type state_reg, state_next;我有我
的
教科书,它非常准确,在谷歌上搜索后,我看不到问题。另外,将其复制并粘贴到EDAplayground
中
,查看是否使用Aldec进行了编译。我对
verilog
和stackoverflow非常陌生,所以如果有任何帮助&
浏览 79
提问于2021-04-01
得票数 0
2
回答
Icarus
Verilog
模拟:范围索引表达式不是常量:i
、
我正在用
Icarus
Verilog
模拟一个16位
的
MIPS网表。这是我在testbench
中
得到
的
错误mips]); <--- error points to this line end我得到了同样
的</
浏览 22
提问于2013-07-12
得票数 0
回答已采纳
1
回答
寻找用于模拟包括可重构逻辑
的
设计
的
开源工具
、
、
我使用Chisel创建了一个漂亮
的
可重构逻辑
数组
,并发现自己无法测试得到
的
Verilog
。我可以看到,通过将
Verilog
输出与Chisel源版本
的
Verilog
进行比较,生成
的
Verilog
很可能是正确
的
,其中消除了可能导致组合反馈
的
连接。有人知道有什么开源工具可以完成模拟电路
的
任务吗?
浏览 0
提问于2016-01-12
得票数 2
2
回答
使用
Verilog
VPI实现模拟器
中
的
超前时间
、
、
我想要使用VPI编写一个
Verilog
任务来比特绑定一个接口;但是,我不知道如何从VPI任务中提前模拟时间。我目前正在使用Mentor Graphics Questa,但也可以访问
Icarus
Verilog
。我已经成功地在我有兴趣控制
的
端口
上强制值,但模拟时间不会提前,即使在vpi_put_value上指定了延迟也是如此。 这通常是如何实现
的
?
浏览 2
提问于2013-06-11
得票数 4
回答已采纳
3
回答
verilog
;无法在$display中使用"string“类型
、
、
我使用
的
是
icarus
verilog
的
最新主分支构建。 我应该期望运行下面的代码吗?
浏览 35
提问于2020-05-29
得票数 0
1
回答
将二维
数组
的
一行作为输入传递给
verilog
中
的
模块。
、
、
、
、
我想知道是否有任何方法可以将二维
数组
中
的
单行作为输入传递到
Verilog
中
的
模块。 reg[15:0] arr[0:9][0:63]; ...mod1 m(..., arr[5], ....);module mod1 (..., input[15:0] arr[0:63],...);... endmodule我使用
icarus
浏览 73
提问于2020-06-01
得票数 0
回答已采纳
2
回答
如何查看内存波形?
、
、
end end 要查看memory
的
波形,您有什么建议 或者如何在gtkwave或任何.vcd/波形查看器
中
显示二维
数组
?
浏览 4
提问于2011-11-25
得票数 2
回答已采纳
2
回答
在
verilog
中
定义二维线阵
、
以下是一些在
Verilog
中
定义二维线阵
的
简单代码。
浏览 5
提问于2016-03-21
得票数 2
回答已采纳
1
回答
查找
verilog
2001模块声明语法
、
、
我可以用谷歌找到几个完整
的
v2k语法--但是要么我疯了,要么它们在
端口
声明方面都以同样
的
方式崩溃了。示例输入: input x, endmodule; { name[3:0], name2[2:0]} .. or .. .name( othernam
浏览 0
提问于2011-02-07
得票数 2
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
贰 | 数据类型2 —— 定宽数组
spinalHDL生成verilog时对相关的元素剪裁控制
Tone2 推出波表合成器 Icarus3 升级,增加粒子合成和更多 FM功能
贰 | 数据类型1 —— 内建数据类型
SAST weekly verilog HDL简介
热门
标签
更多标签
云服务器
ICP备案
实时音视频
即时通信 IM
对象存储
活动推荐
运营活动
广告
关闭
领券