首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?

很多工程师都会选择多个jobs进行编译,以为这样会更快一些,而且这个jobs的数量跟本地CPU的线程数是一致的,这就更加让工程师们认为这个选项就是多线程编译了。   ...使用多个jobs能加快编译速度么?   我们首先来看jobs的定义,在UG904中这样写道: ?...Test1为默认的2线程,最大jobs(12)的情况下,需要19min; Test2把jobs降为1,线程还是2,仍然需要19min,说明在只有一个Design Run的时候,jobs的数量不影响编译时间...; Test3是采用8线程,jobs跟Test1相同,都是12,此时需要17min,比默认的2线程快了2min; Test4是在8线程的基础上,把jobs降为1,此时还是17min,再次验证了单个design...run时,jobs的数量不影响编译时间; Test5是总共有6个Implementation的Design runs,采用8线程12jobs同时跑; ?

1.4K20
领券