腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
2
回答
信号引导不能合成,同步描述不好吗?
、
、
为了测试它,我想做一个
led
闪烁与分裂的频率,如果开关是打开的。问题是,如果
时钟
不处于上升的边缘,我不知道如何改变
led
的值。 --clk_out : out STD_LOGIC;
led
begin if c
浏览 1
提问于2014-05-07
得票数 1
回答已采纳
1
回答
带CPLD的闪烁
LED
、
我写了一些代码来打开
LED
,现在我想让它闪烁。module LEDON(
LED
); begin endendmodule 那么,我如何添加一个延迟,使我能够看到
LED
亮起和熄灭?我读到过tI必须使用触发器来降低
时钟
速度,但我不太确定这意味着什么。
浏览 4
提问于2012-06-30
得票数 1
回答已采纳
2
回答
引用的数组cells_per_number中的值是什么
我正在查看解决方案,我想知道数组中的
数字
指的是什么
LED
闹钟:你(自愿地)在一个完全黑暗的房间里,除了一个老式的
LED
数字
闹钟发出的光。这是使用HH:MM时间格式的具有4个七段显示的
时钟
之一。
时钟
配置为以24小时格式显示时间,如果不使用,前导
数字
将为空。从房间最暗的时候到最亮的时候有多长时间?
浏览 20
提问于2017-03-03
得票数 0
1
回答
多核: LPC43xx系列: Cortex M0
时钟
、
、
、
、
我想知道这些参考
时钟
和cortex M0中的处理器
时钟
之间的区别。参考
时钟
是否指主核CM4的
时钟
?处理器
时钟
是否指的是CM4的
时钟
?我有点不清楚在CM4中有很多
时钟
,而在CM0中什么都没有?我应该初始化哪个
时钟
来闪烁(延迟)?GPIO_SetDir(
LED
1_PORT,(1<<
LED
1_BIT), 1); SysTick_Config(CGU_GetPCLKFrequency(CGU_PERIP
浏览 16
提问于2017-07-18
得票数 0
1
回答
如何使用verilog使
led
闪烁
、
我一直在尝试用vivado在Verilog中制作一个闪烁的
led
。我有一个100 FPGA的FPGA。我的代码如下:input clk,output reg
led
wire state; count <= count + 1; //otherwise increment the register if (
led</em
浏览 0
提问于2016-06-15
得票数 0
2
回答
Verilog如何用
时钟
一位一位地改变线路?
、
、
module clks( output [15:0]
led
reg [2:0= count[1]; always @(posedge clk) count = count + 1;如何使用
时钟
打开每个
led
(我有15个
led
)?;
led</
浏览 1
提问于2020-10-25
得票数 1
1
回答
DE0纳米
LED
连续点亮和熄灭
、
、
使用DE0纳米板,我正在尝试写VHDL语言来模拟板上所有可用的
LED
(其中8个)
LED
(2) <= not
LED
(2) ; end if; end pr
浏览 0
提问于2019-10-29
得票数 0
1
回答
如何将
时钟
分隔器集成到现有的VHDL代码和约束文件中
、
、
、
然而,我唯一可以访问的
时钟
以125 the的频率运行,这对于按下按钮来说太快了,所以我需要将
时钟
划分为一个更合理的速度。我在这个网站上看到了一些
时钟
分配器的例子,但是我不知道的是: 在约束文件中,如何包含被分割的
时钟
?我认为我使用生成的
时钟
作为语句的一部分,但是它必须被分配到它自己的独立引脚上吗?现在,
浏览 3
提问于2015-08-26
得票数 1
回答已采纳
1
回答
在MAX10上用锁相环产生大于400 PLL的
时钟
信号
、
、
我使用10M50 FPGA通过MIPI-CSI2从摄像头读取数据,但板上的
时钟
不能足够快地工作。所以现在我正在尝试使用PLL来产生更快的
时钟
信号。我使用下面的代码来测试生成的
时钟
信号是否正确,方法是手动设置v1变量,使我的
led
每秒闪烁一次。在本例中,PLL (由ALTPLL向导从Quartus IP Catalog生成)设置为接收100 the
时钟
信号,并应显示400 the
时钟
信号,但没有任何反应。= 0; end if;
LED</
浏览 2
提问于2020-04-15
得票数 1
1
回答
Verilog等待函数说明
、
我有两个
时钟
-一个100千赫,第二个10千赫。我只想在10 when高的时候切换100 when频率的
LED
。但我的产量仍然很低。这是我的代码: input clock_100kHz,);) begin @(posedge clock_100kHz);
浏览 0
提问于2017-05-07
得票数 0
2
回答
点阵钻石:挂钟
、
、
、
、
//toggles
LED
on and off after 1000000 clock cycles
LED
,); reg
LED
;~
LED
:
LED
; count <= count_nxt; endmodule我收到这些
浏览 5
提问于2012-07-02
得票数 2
回答已采纳
0
回答
如何删除chisel3顶层模块的
时钟
信号?
、
、
我有一个闪烁的
led
设计谁使用了差分
时钟
输入(xilinx AC701套件)。val io = IO(new Bundle{ val clock_n = Input(Clock())这是可行的,但在Top verilog模块上,我有一个无用的
时钟
输入: input clock, input io
浏览 4
提问于2017-01-02
得票数 1
回答已采纳
3
回答
STM32F401RE核心板,无法切换用户指示灯
、
、
、
、
我试图弄清楚如何切换Nucleo板上的
LED
,但我就是看不到用户的
LED
切换。从网上看,这似乎就是你所要做的。还有人遇到过这个问题吗?
浏览 5
提问于2018-07-07
得票数 1
1
回答
在Moore电路设计中,输出不同步变化。
state <= state; S0 :
led
_output= 6'b111111; S2 :
led
_output = 6'b100010;S3 :
led
_output = 6'b110110;
浏览 0
提问于2020-09-29
得票数 1
回答已采纳
1
回答
Verilog
时钟
脉冲
、
我有两个
时钟
,快
时钟
和慢
时钟
。我正在尝试通过慢
时钟
的上升沿触发一个
时钟
脉冲,持续时间为1个快
时钟
周期。我已经成功地创建了类似于所示的,但这是使用任意计数器,我需要保证它将发生在缓慢
时钟
的上升沿。
浏览 6
提问于2021-10-09
得票数 1
3
回答
带闪烁
LED
的按钮链接
、
、
我正在尝试实现一个push btn来激活下面的
LED
闪烁代码,当按钮被释放时,闪烁停止。以下是闪烁的
LED
代码module blinkyslow( output
LED
reg[24:0] COUNT = 25'b0000000000000000000000000; always @(posedge CLOCK) begin COUNT<
浏览 5
提问于2016-02-20
得票数 2
1
回答
如何简化丑陋的嵌套if else语句?
、
、
下面我用Verilog编写了一小段代码,用于控制
数字
时钟
显示。整个代码基本上都是这样布局的。代码运行良好,可读性很好。然而,我发现它是不优雅的。有没有可能在简化代码的同时提高可读性?if (cnt >= clkspeed) begin out0 <= out0 + 4'h1; i
浏览 1
提问于2012-11-10
得票数 2
回答已采纳
2
回答
I2C从站是否有内部振荡器或外部
时钟
、
因此,我搞不懂从属设备/外设是由SCL还是其他
时钟
控制的。为什么我会感到困惑? 1.)我看到了一些I2C
led
外设的图片和规格,它们使用scl本身作为寄存器的输入
时钟</em
浏览 14
提问于2017-06-16
得票数 0
3
回答
我在微控制器stm32f373的发现中点亮一个发光二极管有问题。
、
、
、
、
STM32立方体MX.
LED
和按钮
LED
引脚PC9,PC8
浏览 0
提问于2019-08-05
得票数 0
回答已采纳
1
回答
VHDL
时钟
LED
序列
、
、
这是我在这个网站上的第一篇文章,我为我的电子学学位学习VHDL,并必须编写一个程序,在每一个
时钟
脉冲改变一个序列的
LED
序列。library ieee;use ieee.std_logic_unsigned.all; PORTinputEnd R
浏览 2
提问于2016-10-17
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
实时音视频
活动推荐
运营活动
广告
关闭
领券