腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
当我们将两个值赋值给同一个变量时会发生什么?
system-verilog
、
system-verilog-assertions
check_seq(X,Y);endsequenceinitial begin src_sig <=
1
;dest_sig <=
1
;end src_sig <=
1
;alt_sig <= 0;src_sig <= 0;alt_sig &
浏览 2
提问于2017-06-14
得票数 0
回答已采纳
1
回答
无法打开lib '/home/user/install/os410042/var/progress/DataDirect/Connect64_for_ODBC_71/lib/ddora27.so‘:文件未找到
linux
、
oracle
、
odbc
、
unixodbc
我们的应用服务器运行在
Linux
平台上,数据库服务器运行在Windows机器上。我们目前正在测试新的
驱动
程序,以从
Linux
服务器连接Oracle DB。因此,我已经安装了数据直接进度ORACLE ODBC
驱动
程序,当我试图连接时,我得到了以下错误 Oracle
Wire
浏览 0
提问于2017-05-16
得票数 0
回答已采纳
1
回答
戴尔Inspiron 3520,安装12.10无法让wifi工作
wireless
、
drivers
我安装了Ubuntu12.10,但是无法找到/安装无线
驱动
程序,不得不返回到Windows 8,我宁愿要Ubuntu,但我需要我的wifi。
浏览 0
提问于2013-03-15
得票数 0
2
回答
为什么我的输出没有被分配一个值?
verilog
通常是0,
1
或-
1
,但有时它必须是0.707或-0.707,我们暂时使用不动点数来处理。 32'd
1
: out_W <=
浏览 4
提问于2020-03-17
得票数 1
回答已采纳
1
回答
电线和三网、魔杖和三网有什么区别?举个例子吧?
verilog
我在学习Verilog。我不明白电线和三网类型、魔杖和三网类型之间的区别。我们到底需要在哪里指定一个网络为魔杖和一个triand?
浏览 4
提问于2017-08-06
得票数 0
1
回答
基于Verilog的流水线设计问题
verilog
、ypipe[
1
]或zpipe[
1
]寄存器中的任何值,它们停留在zzzz。-
1
:0] xout, output reg [ANGLE_WIDTH-
1
:0] zout parameter0] angle, input [VALUE_WIDTH-
1
:0] yin, output [VAL
浏览 3
提问于2013-11-26
得票数 1
回答已采纳
1
回答
Verilog:不能由基元或连续赋值
驱动
module
、
output
、
verilog
Verilog:不能由基元或连续赋值
驱动
sum29,sum30,sum31;
wire
and
浏览 2
提问于2015-03-11
得票数 1
1
回答
对于某些变量,Verilog波形显示蓝色线条和Hiz
verilog
、
modelsim
endmodule input a, b, c;
wire
_4, carry_out_4;full_adder FA
1
(full_sum_
1
, full_carryd, h, full_carry_3); module testbench_
浏览 112
提问于2020-04-22
得票数 1
回答已采纳
1
回答
Ubuntu20.10 aarch64 (Raspberry CM4)如何访问
1
-
Wire
设备?
20.04
、
raspberrypi
、
arm64
凌晨4点在计算模块4上运行Ubuntu20.10 aarch64 (Raspberry )会让我抓狂于如何访问
1
-
Wire
设备?我对/boot/fireware/config.txt做了同样的修改;但是,我没有看到任何迹象表明内核也没有看到任何明显的内核模块来加载这些模块来支持Raspberry
1
-
Wire
支持。快速更新,我下载了
linux
内核,并发现在Raspberry PI操作系统中,ubuntu的
驱动
程序是相同的。例如电线,w
1
-g
浏览 0
提问于2021-02-07
得票数 1
1
回答
使用Verilog inout线路时遇到问题
verilog
inout
wire
[KEY_SIZE-
1
:0] prevKey;inout
wire
[TAG_SIZE-
1
:0] nextTag; 我知道我如何在公交车上读东西,但是我如何在上面写东西呢?
浏览 0
提问于2012-09-13
得票数 4
回答已采纳
2
回答
我了解verilog的基本原理,但是test没有意义。
verilog
、
vlsi
半Adder: inputa,b; xor(carry,a,b);试验台:reg a,b;
浏览 2
提问于2013-09-30
得票数 0
回答已采纳
1
回答
无线网络不能工作
wireless
、
networking
Module Size Used bysnd_hda_codec_hdmi 32007
1
snd_hda_codec_conexant 57842
1
bnep 18140 2,lpkvm_intel 132759 0 kvm
浏览 0
提问于2013-02-21
得票数 0
回答已采纳
1
回答
驱动
模块输入
verilog
、
system-verilog
我在一些EDA操场上做了一些测试,以检查当模块中的一些输入被
驱动
时,哪些模拟器工具正在报告。我也在这里发布代码 input var logic a, input cassign b=
1
'b0; endmodule
浏览 1
提问于2018-11-06
得票数 1
回答已采纳
1
回答
如何将inout端口实现到接口并连接到DUT?
verilog
、
system-verilog
wire
[7:0] a_data; // this is the connection to ramcu data port
wire
[7:0] b_data; // this is the connection to ramcu datalogic b_we ; logic [16:0] b_a
浏览 3
提问于2022-09-27
得票数 1
回答已采纳
1
回答
Verilog中简单的CPU设计
verilog
1
精化过程中的错误。 有人能告诉我我做错了什么吗?
浏览 4
提问于2013-03-13
得票数 1
1
回答
执行4位移位器verilog (门级)时,我得到未知值。
verilog
、
system-verilog
、
fpga
、
modelsim
非常感谢 module mux2(a,b,sel,c);input a,b,sel;not m
1
(net0,sel);input[3:0]a;mux2 m
1
(a[3],a[2],sel[0],mux_
1
);input [
浏览 29
提问于2020-10-10
得票数 1
1
回答
具有可选多平台
驱动
程序接口的
Linux
设备
驱动
程序
linux
、
driver
我将如何为这样的设备编写
linux
设备
驱动
程序? 具有这种行为的芯片是ssd1307,它已经在主线
linux
内核()中实现了
驱动
程序,它只为其I2C接口实现(该芯片还具有3/4
Wire
接口)。正如您在代码中所看到的,探测函数在很大程度上依赖于它是一个I2C接口,因此为了使用SPI接口,我需要编写一个全新的
驱动
程序,重复许多常见的代码,或者插入许多#ifdefs,这对我来说似乎不是很好的方法。
浏览 2
提问于2018-09-30
得票数 0
回答已采纳
1
回答
Verilog错误信号有多个
驱动
器
verilog
我找到的关于多个
驱动
器的问题的答案似乎都不适用于我的情况,所以我会问。我希望在这段时间内通过改变不同位置的电平来创建一个信号。
wire
Signal_On_
Wire
; SigValue<=
1
'd0; SigValue<=
1
'd
1
; Assig
浏览 0
提问于2019-02-09
得票数 0
2
回答
如何在Ubuntu上安装
Wire
桌面应用程序/客户端?
software-installation
、
client
、
open-source
、
instant-messaging
这些特性吸引了我,我可以在几乎所有平台 (
Linux
)上使用它。安卓。iOS。麦克。(窗户。)包含在最常见的浏览器中。 还有一个独立的桌面版本/客户端。如何在Ubuntu中安装有线桌面客户端?
浏览 0
提问于2017-10-14
得票数 5
回答已采纳
1
回答
Verilog中的BCD加法器(带门)
logic
、
verilog
、
hdl
、
bcd
我有一些问题:input Cin; //four_b
浏览 13
提问于2020-05-08
得票数 1
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
Linux驱动系列-PWM驱动
Linux设备驱动开发
Linux驱动开发-编写VS1053芯片音频驱动
AMD推出Radeon Software for Linux 22.40 驱动更新
Linux快速入门(1)
热门
标签
更多标签
云服务器
ICP备案
实时音视频
即时通信 IM
对象存储
活动推荐
运营活动
广告
关闭
领券