腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
使用
VIVADO
HLS进行联合
仿真
c++
、
simulation
、
fpga
、
vivado-hls
Xilinx系统生成器可用于原始MATLAB参考模型和实际HW板之间的联合
仿真
。在
VIVADO
HLS中,我们可以按照类似的步骤在原始C++参考模型(在HDL语言的数据类型和算法优化之前)和实际的HW板之间进行协同
仿真
吗?
浏览 82
提问于2020-06-11
得票数 1
回答已采纳
1
回答
三态开关VHDL中的意外行为
vhdl
、
tri-state-logic
在
仿真
中,三态驱动的行为是不可理解的。'0'; line_en <= '1'; line_en <= line_en;end process; 在
仿真
中
浏览 5
提问于2022-08-04
得票数 0
1
回答
Xilinx (或原语)在verilator
仿真
中的应用
verilator
为了加快
仿真
速度,我想将
仿真
环境移植到验证器中。然而,当我编译axi_ram_sim_netlist.v文件时,事情出了问题。错误输出显示,Cannot find file containing module: 'RAMB36E1'和RAMB36E1在
vivado
中似乎是原始的。
浏览 1
提问于2022-03-27
得票数 -1
1
回答
在Questasim中模拟.xci文件
vhdl
、
xilinx
、
vivado
、
questasim
更多详细信息dsp_c.dcp dsp_c_funcsim.vhdl dsp_c_stub.v dsp_c.xci synth
浏览 1
提问于2015-07-10
得票数 1
1
回答
在FPGA上实现机器学习算法的开源工具
machine-learning
、
fpga
、
hardware-acceleration
、
convolutional-neural-network
是否有任何开源工具/程序可用于在FPGA fabric上实现像CNN这样的机器学习算法?
浏览 107
提问于2018-05-15
得票数 -3
2
回答
vivado
在输出X波形时有问题,它采用阵列,并在7段领导的计数器有限状态机上产生波形。
verilog
、
xilinx
、
vivado
我试图创建一个7段领导计数器,我有两个问题是,一个直通g只显示为X在一个模拟波形,我不知道如何采取Q,这是目前的状态,并使它的波形到测试台。我认为它应该类似于在测试平台中创建连接,名为Q2、Q1和Q0,并添加它们,比如.q、等等,但它似乎不喜欢这样。我对此非常陌生,所以这可能是很简单的事情。谢谢!input u,input clk,output reg b,output reg d, outpu
浏览 3
提问于2017-03-12
得票数 0
回答已采纳
2
回答
Verilog:
Vivado
合成工具,自动将信号添加到灵敏度列表中吗?
verilog
、
synthesis
、
vivado
if(i) else end在合成此代码之后,在使用ModelSim模拟器进行后合成-
仿真
过程中似乎
Vivado
会自动将"b“信号添加到敏感度列表中,不是吗?
浏览 2
提问于2016-12-27
得票数 2
回答已采纳
1
回答
如何在
vivado
中进行后综合
仿真
simulation
、
fpga
、
vivado
我使用的是
Vivado
Design Suite 2017.2,我有一个vhdl设计和一个添加到
仿真
集中的测试台。 行为模拟运行良好。重点是,我知道对于后综合
仿真
,会创建一个新的vhdl/verilog文件来表示网表。然而,我没有对测试平台做任何更改,我说我没有显式地实例化新文件。现在的问题是,这是否会自动发生。
浏览 2
提问于2018-04-14
得票数 0
1
回答
如何使用python子进程在windows shell中包装(
Vivado
) CLI
python
、
shell
、
subprocess
、
popen
、
vivado
一些背景:
Vivado
有一个CLI,可以通过windows提示符使用因此,基本上在这一点上,您有一个tcl解释器,它提供
vivado
对象、命令、接口等。
Vivado
% lindex [get_parts] 0
Vivado
% 但我拥有的是什么(stdout是空的,程序块)。<
浏览 5
提问于2019-11-05
得票数 0
1
回答
.bat批处理文件如何从字符串中获取环境变量?
batch-file
、
environment-variables
我现在的剧本:%str%%str%其目前的产出: "bat
浏览 4
提问于2020-11-04
得票数 0
回答已采纳
1
回答
将预定义宏(Verilog)转换为VHDL
verilog
、
vhdl
更具体地说,我想在VHDL中使用这个特性,我只想在
仿真
中执行代码。读数: print "Hello World!"我目前正在使用
Vivado
ML版本2021.1。
浏览 12
提问于2022-10-04
得票数 1
1
回答
Vivado
合成挂在Jenkins生成的Docker容器中
docker
、
jenkins
、
xilinx
、
vivado
我试过: sh 'source source_
vivado
.sh &&
vivado
-mode batch -source tcl
浏览 6
提问于2019-04-17
得票数 9
3
回答
Linux+
认证
certification
随着春假的临近,我想利用这段时间为我的
Linux+
认证考试做准备。如有任何建议,敬请见谅。
浏览 0
提问于2012-03-13
得票数 7
1
回答
vivado
仿真
错误:达到迭代极限10000
verilog
、
simulation
当我试图在
vivado
运行模拟时,我得到了: 错误:达到迭代极限10000。在模拟时间不能提前的情况下检测到可能的零延迟振荡。请检查你的源代码。请注意,可以使用开关-maxdeltaid更改迭代限制。
浏览 1
提问于2020-10-03
得票数 1
回答已采纳
1
回答
在
vivado
hls和
vivado
中显示了不同的资源利用情况
c
、
fpga
、
vivado
、
vivado-hls
我在
vivado
HLS中实现了这个循环计算。我导出这个模块并在
vivado
中运行合成。我想知道为什么结果有很大的不同?
浏览 7
提问于2022-03-08
得票数 0
1
回答
基于反馈电路的
Vivado
停止
仿真
vhdl
、
hdl
、
vivado
我正在尝试做一个由2到1复用器(8位总线)、8位寄存器和8位加法器组成的电路。这些组件都进行了测试,并按预期工作。 模拟结果如下:守则是:use IEEE.STD_LOGIC_1164.ALL; port (m : in STD_LOGIC; clk : in ST
浏览 11
提问于2022-02-05
得票数 1
回答已采纳
2
回答
如何在Ubuntu上启动
Vivado
?
terminal
、
ubuntu-18.04
、
xilinx
、
vivado
、
vivado-hls
我有Ubuntu18.04,并且安装了Xilinx
Vivado
2019.1。 安装很顺利,我把它安装在home/Desktop目录中,而不是通常的opt/Xilinx目录中。这是我在Desktop/Xilinx上的文件 DocNavsdkxic'
Vivado
2019.1.desktop' '
Vivado
HLS 2019.1.des
浏览 57
提问于2020-10-28
得票数 0
1
回答
符号查找错误- Libgnutls.so.30:未定义符号:__gmpz_limbs_write
gnutls
当我试图在tcl控制台中启动atom ( execute )时,我从Xilinx环境中得到了这个符号查找错误,它应该在系统环境中执行这个命令。(我也在他们的论坛上发表了这个问题)。诸如exec gedit之类的命令可以成功工作,但这不能工作。这是我遇到的错误:我已经检查了我的系统,没有两个版本的gnutls,而且根据apt缓存策略,它在最新的稳定版本上。
浏览 0
提问于2020-09-02
得票数 4
回答已采纳
2
回答
使用gnu find命令匹配子路径中的斜杠
bash
/rtl/vlog/
vivado
.2020.a02/
vivado
.2020.a02.srcs/sources_1/ip/axi_pcie_0./rtl/vlog/
vivad
浏览 26
提问于2020-11-21
得票数 0
1
回答
Vivado
HLS 2014.4.1在Ubuntu14.10 x64上没有任何错误的崩溃
ubuntu
、
fpga
、
vivado
我正在使用Ubuntu14.10 x64和
Vivado
设计套件2014.4的清晰安装和更新1。
Vivado
运行,但是
Vivado
HLS在启动时崩溃,没有任何错误。user@user-Precision-M4800:/opt/Xilinx/
Vivado
_HLS/2014.4/bin$ ./
vivado
_hls <e
浏览 7
提问于2015-04-16
得票数 3
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
【Vivado那些事】Vivado下头文件使用注意事项
ViVADO HLS 图像的获取
安装教程|Vitis 2022.1+Vivado 2022.1
【Vivado那些事】Force Up-to-Date功能
Vivado中一些小巧的IP
热门
标签
更多标签
云服务器
即时通信 IM
ICP备案
对象存储
实时音视频
活动推荐
运营活动
广告
关闭
领券