腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(998)
视频
沙龙
2
回答
如何撤消全局
modelsim
.ini中设置的内容?
、
Modelsim
附带了一个名为osvvm的库中预编译的OSVVM的旧版本。这是在全局
modelsim
.ini文件中设置的: osvvm = $MODEL_TECH/..我暂时解决了这一问题,只需在全局
modelsim
.ini中注释掉上面的一行。这是可行的,但并不是非常可持续的:我们每次安装
Modelsim
(大概)时,都必须这样做。那么,有什么方法可以在本地
modelsim
.ini文件中取消这一行呢?这对我来说更可持续。或者,还有其他方法不涉及进行特定于机器的更改吗?
浏览 9
提问于2017-12-12
得票数 2
回答已采纳
1
回答
我可以使用
Modelsim
许可证为学生版10.6为16.0版吗?
、
我有
Modelsim
10.6SE的许可证。我是否可以对Altera-
Modelsim
16.0 (最新版本)使用相同的许可证?
浏览 3
提问于2017-08-28
得票数 0
回答已采纳
2
回答
Modelsim
重置所有窗口
这似乎是一个相当愚蠢的问题:但我现在找不到(超过一个小时)一个按钮来“重置”所有标准窗口。在一次车祸中,我意外地关闭了很多车。(特别是“命令窗口”和模拟期间区域内的信号似乎永远消失了)。
浏览 5
提问于2013-12-03
得票数 0
回答已采纳
1
回答
Modelsim
波色vsim
、
、
、
我正在编写一个
modelsim
.do文件来运行我的模拟。为了更快的调试,我需要使用不同颜色的信号波。 我需要知道在我的自定义.do文件中应该使用哪个命令来获得这个颜色更改。
浏览 5
提问于2016-11-15
得票数 0
回答已采纳
1
回答
波形文件未在仿真中运行
当我单击按钮Run functional Simulation下时,我会看到以下错误:To specify a
ModelSim
executable directory, select: Tools-> Options -> EDA Tool Options Note: if both
ModelSim
-Alt
浏览 11
提问于2015-03-07
得票数 0
1
回答
如何在文本窗口中进行
ModelSim
和VSIM卡之间的切换?
当我们第一次打开
ModelSim
时,脚本窗口显示
ModelSim
>。但是,在输入类似vsim -view mywaveform.wlf的内容后,它将更改为VSIM 2>,并且尝试quit -sim不会切换回
ModelSim
>,而是直接关闭
ModelSim
。如何在脚本窗口中的
ModelSim
>和VSIM n>之间进行切换?
浏览 13
提问于2021-04-21
得票数 0
1
回答
Modelsim
显示了一个关于vmap命令的未知警告
之后,它将显示以下错误:“"C:/intelFPGA/20.1/
modelsim
_ase/win32aloem/../
modelsim
.ini_lock". --放弃等待锁。锁文件是C:/intelFPGA/20.1/
modelsim
_ase/win32aloem/../
modelsim
.ini ** error: WriteIniString C:/intelFPGA
浏览 3
提问于2021-11-17
得票数 1
1
回答
无法创建项目(
Modelsim
)
、
从本学期开始,我就一直在
ModelSim
上做VHDL语言,由于某些原因,我现在不能再创建项目了。我甚至卸载并重新安装了
Modelsim
,但我仍然无法使其工作。我能得到一些帮助吗?
浏览 40
提问于2019-11-24
得票数 0
4
回答
更改
modelsim
.ini文件(
ModelSim
)
、
例如,我想对
ModelSim
中的几个参数进行修改,比如MessageFormat。在这个程度上,我对位于安装目录中的
modelsim
.ini文件进行了更改,但是当我重新启动
ModelSim
时,默认参数仍然存在。根据
ModelSim
的用户指南,这个过程非常简单: 在等于(=)号之后键入变量的新值。我知道我正在编辑正确的文件(在启动时,
ModelSim
打印“读取C:/insta
浏览 18
提问于2015-05-05
得票数 2
回答已采纳
1
回答
错误问题(vlog-2110)对网络的非法引用
、
以下是错误消息: ** Error: E:/
ModelSim
File/work/1c.sv(8): (vlog-2110) Illegal reference to net "A".** Error: E:/
ModelSim
File/work/
浏览 381
提问于2021-08-23
得票数 1
回答已采纳
2
回答
在调试模式下,
Modelsim
更改显示变量的值基
、
当我在变量上与curser一起运行时,我可以更改显示值的基数吗? 因此,如果我的鼠标悬停在调试模式下的变量上(因为达到了断点),二进制基数对我来说就没用了。有办法改变这个基数吗?
浏览 11
提问于2015-10-15
得票数 2
回答已采纳
1
回答
ubuntu的
Modelsim
、
、
我想下载ubuntu的
modelsim
。但该网站只提供.exe文件。我还能安装这个软件吗?模型是否存在于ubuntu?如果是,我在哪里能找到它?谢谢。
浏览 0
提问于2011-04-29
得票数 1
回答已采纳
2
回答
如何创建Gnome图标来启动到shell脚本的链接?
、
、
、
我正在尝试在ubuntuGnome14.04LTS中安装
ModelSim
,运行Gnome3.12.2。但我无法得到一个工作的
modelsim
.desktop文件。以下是我的尝试:Name=
ModelSim
Icon=/usr📷cd /h
浏览 0
提问于2017-11-10
得票数 1
2
回答
modelsim
:查找进程/变量
、
我想写一个很好的函数,将信号和过程变量添加到wave中。虽然使用信号很容易,但我不知道如何使用变量。我期望类似于“查找实例”和“查找信号”的“查找进程”和“查找变量”之类的东西,但我在手册中没有找到任何类似的东西。还有别的办法吗?
浏览 8
提问于2013-11-18
得票数 5
3
回答
Verilog中的全局常量
在我的顶部模块中,我有以下内容:当我在Xilinx中时,我会将
MODELSIM
设置为0。当我在
Modelsim
中时,我会将其设置为1。在其他文件中的其他模块中,我将拥有以下内容:所以根据我是在
Modelsim
中还是在Xilinx中,会发生不同的事情。
浏览 8
提问于2011-05-15
得票数 1
1
回答
Modelsim
.WLF文件版本错误
、
、
我使用
Modelsim
ALTERA初学者版本10.1d,并导入一个波形文件,但得到以下错误。 WLF文件版本为132.
Modelsim
10.1d可以读取并包含WLF文件版本121.我已经尝试将相同的文件导入
Modelsim
13.1,并且仍然收到类似的问题。如果有人知道
Modelsim
的哪个版本,我需要打开这个文件版本,您的帮助将非常感谢。谢谢!
浏览 5
提问于2014-04-07
得票数 1
2
回答
如何用
Modelsim
SE模拟Altera超级结
、
、
我已经用Quartus II中的Megaw火龙实例化了一个PLL,然后我想用
ModelSim
SE来模拟它,因为Quartus II 10.1没有内置模拟器。我将builtInPLL.vhd (Megaw火龙的输出)和PLL_tb.vhd (testbench)复制到
ModelSim
项目的目录中。
Modelsim
仍然给了我上面的消息。并且在工作目录中创建了许多带有后缀.dat和.dbs的文件。他们是什么? 图书馆altera_mf在哪里?以及如何使用
ModelSim
SE模拟一个超级功能。
浏览 4
提问于2013-09-12
得票数 2
回答已采纳
2
回答
vsim在Windows上不接受-modelsimini参数
、
、
我使用命令行参数-modelsimini <
modelsim
.ini>为大多数QuestaSim /
ModelSim
可执行文件指定自己的
modelsim
.ini文件。win64\vsim.exe -do "do D:/git/PoC/sim/vSim.batch.tcl" -c -modelsimini D:\git\PoC\temp\precompiled\vsim\
modelsim
.ini# 10.4c # ** Error: (vs
浏览 8
提问于2016-05-03
得票数 35
回答已采纳
2
回答
Modelsim
: localparam的错误范围
、
我试图在
Modelsim
中编译以下代码: #( ) ... );
Modelsim
也讨论了类似的问题,但是
Modelsim
的行为并没有被讨论,不幸的是,我不能评论它来问这个问题。我使用<
浏览 5
提问于2015-04-18
得票数 2
回答已采纳
1
回答
Modelsim
输出波(位图)批处理模式
、
、
、
、
Modelsim
/Questasim能够在GUI模式下导出此位图(选择波浪窗口并转到菜单栏:"File -> export -> Image")。是否有机会将波形以批处理方式保存为图像,或使用Tcl/
Modelsim
命令以GUI模式保存?我读过关于
Modelsim
(
Modelsim
SE用户手册)的命令,但没有找到任何东西。我使用
Modelsim
PE 10.4c @ Win7 64位。迈克尔
浏览 8
提问于2016-04-06
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
各种FPGA开发软件工具——从开发到仿真
数字IC设计技能树
免费赠书了!《FPGA开发及应用》赠书10本!包邮!
元器件行业常用软件推荐-加速度JSUDO
十天学会FPGA之一——ISE的使用
热门
标签
更多标签
云服务器
ICP备案
对象存储
云直播
腾讯会议
活动推荐
运营活动
广告
关闭
领券