腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
使用tcl在Modelsim中查找某个信号的特定值
、
、
我在
linux
下使用
QuestaSim
10.0b。我已经发现可以用下面的命令在Modelsim/
QuestaSim
中完成不幸的是,当信号流的值为'XX‘时,这也与其匹配,但我只希望它与
浏览 2
提问于2014-11-24
得票数 6
回答已采纳
1
回答
带有VHDL
questasim
2020.4的powershell不接受powershell下的参数文件的完整路径
我试图从powershell提供到vcom.exe的完整路径,而vcom正在删除正斜杠:Start time: 08:46:02 on Jan 10,2022C:\proj1> C:\
questasim
64_2020.4\wi
浏览 8
提问于2022-01-10
得票数 0
2
回答
vsim在Windows上不接受-modelsimini参数
、
、
我使用命令行参数-modelsimini <modelsim.ini>为大多数
QuestaSim
/ ModelSim可执行文件指定自己的modelsim.ini文件。这在vcom和vsim的
Linux
以及Windows上的vcom上都很好。但是Windows vsim中止并抛出一个错误: C:\Mentor\
QuestaSim
64\10.4c\win64\vsim.exe -do "do D:/git/PoC/sim/vSim.batch.tcl\git\PoC\te
浏览 8
提问于2016-05-03
得票数 35
回答已采纳
1
回答
Modelsim:如何禁用设计中某些模块的SDF时序检查?
、
我正在使用Modelsim/
Questasim
运行Verilog门级模拟。一些同步器被添加到我的原始设计中,因为它使用两个不同频率的时钟。我只想禁用这些同步器的定时检查。我想使用Modelsim/
Questasim
"tcheck_set“命令,但Modelsim/
Questasim
TCL中断器无法识别此命令。谁能告诉我如何在最新的Modelsim/
Questasim
中使用"tcheck_set“?
浏览 5
提问于2021-10-13
得票数 0
1
回答
在
Questasim
10.2 windows中安装UVM 1.2
、
我使用的是
Questasim
10.2,我的UVM版本是1.1d。但是现在
Questasim
显示了一个无法找到库的错误。如何解决这个问题并在
Questasim
中安装UVM 1.2?
浏览 8
提问于2014-10-09
得票数 1
1
回答
RISCV测试线束从VCS移植到
Questasim
模拟器的问题
、
这些不适用于非VCS模拟器,如
Questasim
(我一直在使用的模拟器)。有没有什么方法可以用来将rocketTestHarness.v文件从VCS模拟器迁移到
Questasim
模拟器。或者有没有其他方法可以用
Questasim
来模拟火箭的核心? 我看了看,但我不确定它是如何工作的。在不使用riscv_fesrvr的情况下模拟火箭芯片和模拟它在自然环境中的工作是不可能的。
浏览 4
提问于2015-06-09
得票数 1
2
回答
有没有办法访问C++复数类的私有成员变量?
Error: /usrf01/prog/mentor/2015-16/RHELx86/QUESTA-SV-AFV_10.4c-5/
questasim
/gcc-4.7.4-
linux
_x86_64/bin/lib/gcc/x86_64-unknown-
linux
-gnu/4.7.4/../../../..
浏览 2
提问于2018-09-05
得票数 0
1
回答
Regd:日志断言标记
、
、
如何使倒三角形标记在Questsim环境中可见。我使用通配符运算符记录波形(.wlf)。标记用于调试基于断言的验证。
浏览 1
提问于2014-10-16
得票数 1
1
回答
如何手动编译共享的dpi库?
、
、
我试图导入一些在SystemVerilog中生成数组的C-函数。#include "svdpi.h"#include <stdio.h>void getPacket(int packetSize, svOpenArrayHandle fpSig, svOpenArrayHandle err) int* cfpSig = (int*) calloc(packetSize, sizeof(int)); double* cerr =
浏览 3
提问于2019-07-06
得票数 0
回答已采纳
1
回答
SystemVerilog
QuestaSim
-将字符串传递给$fdumpvars以保存多个VCD文件
、
、
我试图在同一个initial begin中生成多个
QuestaSim
2021.3中的VCD文件(最新)。我在
QuestaSim
用户手册中找到了这一节: 但是,我只能将"/hardcoded/path/ to /vcdfile.vcd“作为文件名传递,这对于单个VCD文件是正确的。"Sum: %d",i_adder.sum); $fdumpoff(dump1); $exit;没有导出VCD文件,<em
浏览 22
提问于2022-06-29
得票数 0
1
回答
如何知道在使用Modelsim或
Questasim
时定义了哪些Systemverilog宏?
、
、
我正在使用
Questasim
10.4c来模拟一个Systemverilog设计,它在很多地方使用了` `ifdef编译器指令。示例:<code>在编译之后,我还没有找到任何方法让
Questasim
能够显式地告诉我是否已经定义了FOR_SIMULATION_ONLY但是,
Questasim
能否告诉我,它是否是在不使用vlog -E方法的情况下定义的?
浏览 10
提问于2016-09-08
得票数 1
回答已采纳
1
回答
如何用奎斯塔西姆做衬布?
、
、
、
现在我得用
Questasim
了。但我不知道我能用什么工具或开关来做皮棉。或 是否有任何交换机,我必须使用它来启用
Questasim
,以便使用这些"Vlog \Vopt Vopt Vsim Vsim_ Qverilog“中的任何一个。
浏览 4
提问于2016-03-04
得票数 0
2
回答
参数化打包结构中字段的位宽,以便模块可以在端口映射中推断位宽。
、
后续Q,在仿真中,戴夫提到的解决方法在使用
QuestaSim
时非常有用,但现在在
QuestaSim
中遇到了不同的问题:localparam width = $bits(
questasim
_workaround); typedef myInt.addr
questasim
浏览 6
提问于2021-01-06
得票数 0
回答已采纳
2
回答
您能在systemverilog文件中导入vhdl包吗?
、
struct packed { bit [7:0] vec1;这是我的模拟器输出:
QuestaSim
-64 vcom 2020.4 Compiler 2020.10 Oct 13 2020End time: 11:39:52 on Jan 19,2022, El
浏览 24
提问于2022-01-19
得票数 -1
1
回答
如何在SystemVerilog中重载操作符
、
我读了规范,试着用
Questasim
10.3来“绑定”。但没有运气。
浏览 5
提问于2015-02-14
得票数 0
回答已采纳
1
回答
在
questasim
中加载.a文件时出错
、
、
当我试图加载一个
Questasim
项目中提供的.a文件时,我遇到了问题。在调用vlog时,我尝试过这样做,但没有任何直观的选择。
浏览 1
提问于2014-07-29
得票数 0
回答已采纳
1
回答
在SystemVerilog中,我可以在初始值中使用生成-endgenerate块吗?
、
例如:begin for(genvar i; i < 4; i++)endgenerate 我在概念中使用
QuestaSim
浏览 4
提问于2016-03-26
得票数 0
回答已采纳
1
回答
modelsim/questa错误: UI-Msg:(vish-4014)找不到与'/*‘匹配的对象
、
、
我的
questasim
/modelsim "do script“提前退出,因为我尝试使用以下命令将所有信号记录到wlf文件中: #Log all objects in the design. #100 addr = 4'b1111; endC:
浏览 181
提问于2021-10-07
得票数 0
2
回答
格式化$info
、
如何格式化Verilog代码的$info、$warning等输出?Questa的modelsim.ini提供以下内容:MessageFormatWarning = "%S: %R\n Time: %T Iteration: %D%I\n" MessageFormatError = "%S:
浏览 15
提问于2022-10-21
得票数 0
回答已采纳
1
回答
不适用于
QuestaSim
10.4B的属性中的case语句
、
、
、
i_ffs_rdcount == 4) |=> $fell(o_telem_fifo_ready_n); endcase使用
QuestaSim
这个版本的
Questasim
不支持case语句吗?
浏览 1
提问于2017-04-03
得票数 0
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
Linux DNS 查询剖析 Linux 中国
Manjaro Linux 22.0 发布|Linux 中国
Alpine Linux 3.18 发布|Linux 中国
Linux基础(3)linux命令3
linux高级课程-在Linux中编程
热门
标签
更多标签
云服务器
ICP备案
对象存储
实时音视频
即时通信 IM
活动推荐
运营活动
广告
关闭
领券