腾讯云
开发者社区
文档
建议反馈
控制台
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
登录/注册
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(11)
视频
沙龙
1
回答
如何在NCSIM gui中更改字体大小?
specman
我们在GUI模式下使用带有NCSIM (IUS 12.2)的specman。我看到了如何更改specview gui字体设置的答案,它适用于Specview,但不适用于NCSIM。我们怎么能为模拟器做同样的事情呢?
浏览 1
提问于2014-06-05
得票数 1
2
回答
在Verilog代码中为
SimVision
设置探测器
verilog
、
simulation
、
cadence
我正在使用Cadence
SimVision
检查波形。 有没有一种方法可以在
SimVision
环境下用verilog编写命令?我指的是像探针和参数这样的东西。
浏览 3
提问于2015-02-09
得票数 2
1
回答
使用ncsim源浏览器而不是specview源浏览器
specman
我想使用带有Specview的ncsim源浏览器,而不是specview源浏览器,因为它更强大,用户也更友好。有没有办法做到这一点?
浏览 2
提问于2014-06-09
得票数 0
2
回答
向
SimVision
中的波形发送信号的命令
tcl
、
waveform
、
cadence
有没有(Tcl-)命令可以用来向
SimVision
中的波形发送信号?
浏览 24
提问于2014-07-03
得票数 0
1
回答
如何使$display消息显示在
SimVision
控制台中
verilog
、
cadence
、
cadence-virtuoso
我必须使用Cadence程序套件来完成Verilog类的分配,我想知道为什么我创建的非常简单的模拟测试工作台中的$display语句不会在
SimVision
控制台窗口中产生输出。initial begin $finish;endmodule 然后,我调用了NC,初始化并列出并模拟了打开
SimVision
浏览 2
提问于2017-09-02
得票数 0
回答已采纳
1
回答
如何更改显示波形的默认颜色
modelsim
但
simvision
还是可以的。)
浏览 2
提问于2018-07-17
得票数 1
1
回答
在ncsim中,一个黄色图标出现在信号切换处。搞不懂这是什么?
cadence
在我的模拟中,我可以看到一个黄色的图标出现在信号的切换处。该图标显示一个方波状的图像。我试图寻找一个解释,最接近的是“零脉宽”,但我仍然不确定。没有任何文档解释它的确切内容和原因。这不会给我带来任何问题,但我只是好奇。有人知道吗?
浏览 2
提问于2016-02-25
得票数 1
回答已采纳
1
回答
在
SimVision
代码中设置SystemVerilog探针
cadence
我试图用irun探测systemverilog信号。当我在googling上搜索的时候,我遇到了一个例子,将wave转储为下面的内容。 $recordfile("sv_wave"); $recordvars("depth=all",pstest);
浏览 3
提问于2017-12-01
得票数 0
回答已采纳
1
回答
如何在verilog中证明一个任务?
verilog
有没有办法在
simvision
中找到任务的触发点? 这难道就不能在视觉上想象出来吗?我知道这种方式像使用打印或显示语句。但我需要想象一下。有人知道吗?
浏览 3
提问于2015-09-11
得票数 0
回答已采纳
1
回答
关于在ncsim中仿真时sdf文件的计时精度
simulation
、
sdf
U10) (ABSOLUTE )) 当我在
simvision
浏览 6
提问于2015-01-03
得票数 0
1
回答
如何消除不必要的输出?
verilog
、
hardware-acceleration
、
register-transfer-level
i_multiplier = 32'h00010000; #70end 输出: Cadence
SimVision
浏览 0
提问于2018-11-12
得票数 1
回答已采纳
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
热门
标签
更多标签
云服务器
即时通信 IM
ICP备案
对象存储
实时音视频
活动推荐
运营活动
广告
关闭
领券