我在我的联想IdeaPad-Z500上运行ccminer 1.2有困难,它有一个NVIDIA 740米,这是一个CUDA能力的GPU,根据https://developer.nvidia.com/cuda-gpus的说法。我在http://docs.nvidia.com/cuda/cuda-getting-started-guide-for-linux/index.html之后安装了CUDA工具包(添加了存储库并更新了repos并安装了cuda)。启动ccminer会导致错误
modprobe: ERROR: could not insert 'nvidia': No such
我安装了这个命令sudo apt-get install nvidia-390,下面是输出
Reading package lists... Done
Building dependency tree
Reading state information... Done
nvidia-390 is already the newest version (390.116-0ubuntu1).
The following packages were automatically installed and are no longer required:
g++-6 libaccinj64-9.1
我想知道是否有可能通过+UVM_TESTNAME通过+uvm_set_type_override覆盖命令行中指定的测试。
I have tried it and this is what i see in prints in log.
UVM_INFO @ 0: reporter [RNTST] Running test Test1...
UVM_INFO @ 0: reporter [UVM_CMDLINE_PROC] Applying type override from the command line: +uvm_set_type_override=Test1,Test2
所以,在我
我在Eda游乐场上修改了hello world UVM测试平台,以创建uvm序列的层次结构。在从子类的虚拟任务体创建基类序列的对象时,我得到以下错误:
xmvlog: *E,MISEXX (my_sequence.svh,72|29): expecting an '=' or '<=' sign in an assignment [9.2(IEEE)].
违规的代码行是:
base_sequence base_seq_obj = base_sequence::type_id::create("base_seq_obj");
整个代码位于这个
我开发了一个简单的uvm测试平台来验证一个简单的加法器。我也使用功能覆盖来监控覆盖范围。加法器为8位,输入为a和b,输出为c,为9位。
我为a和b开发了8位a和b的事务。按照顺序,我已经使用repeat(100)运行了它,它将随机化,并将a和b驱动到DUT。此场景的功能覆盖范围的最佳情况是(100/256)*100%,即假设不重复任何值,大约40%。我在记分板中对覆盖范围进行了抽样,并在env中获得了覆盖结果。
这是我的代码片段
// monitor class
covergroup cg;
a : coverpoint sb_item.a;
b : coverpoint
我有一个这样的字符串:
string str = "Property first {prop1=val1;prop2=val2}this is reg table[uvm]dsfhsjhsdj[/uvm]this is uvm test{pp1=vv2}";
我需要获取uvm.../uvm字符串。
我已经尝试过了:
reg = new Regex(@"(\[uvm\].*?\[\\uvm\])");
string s = reg.Match(str).Groups[0].Value;
但它不起作用。它不匹配。我该怎么办?
假设我有一个time类型的变量。
class my_class extends uvm_object;
time my_time;
int my_int;
`uvm_object_utils_begin(my_class)
`uvm_field_int(my_int, UVM_DEFAULT)
// ? for time
`uvm_object_utils_end
endclass
正如我们所知,我们可以为int数据类型使用'uvm_field_int。什么是适合time数据类型的宏?
在我的验证环境中,我设置了一些可重用的公共序列:
class common_sequence(type T = uvm_sequence) extends uvm_sequence#(uvm_sequence_item);
`uvm_object_param_utils(common_sequence_t#(T))
function new(string name="common_sequence");
super.new(name);
endfunction
T sequence;