可能重复:
我有一组类的定义如下:
namespace VGADevices.UsingAbstractClass
{
public abstract class VGA
{
public abstract int HorizontalResolution { get; set; }
public abstract int VerticalResolution { get; set; }
}
public class LCDScreen : VGA
{
public override int Hori
我想要从我的目标系统的视频卡信息,无论是什么。从我当前的目标系统返回了两行,我想将每一行作为数组的一个元素来处理。使用下面的代码,我从lspci结果中得到每个单词,而不是整行,这正是我所需要的。有什么想法吗?
myvideos=(`lspci | grep VGA`)
for video in ${myvideos[@]}
do
echo "The $video"
done
从代码返回的结果是:
The 00:02.0
The VGA
The compatible
The controller:
The Intel
The Corporation ....
我需要的是:
我大致知道KMS设置是什么:
Kernel mode-setting (KMS) shifts responsibility for selecting and setting up the
graphics mode from X.org to the kernel. When X.org is started, it then detects
and uses the mode without any further mode changes. This promises to make
booting faster, more graphical, and less flickery.
每次在我的电脑上安装Ubuntu时,我都会遇到这个问题。我以前通过VGA电缆连接我的电脑到我的屏幕上,每次我在任何使用VGA电缆的PC上安装Ubuntu时,我都会收到一条错误消息:
Unsupported video file, invalid video format
上面还写着cannot display this video mode。有一次,我安装了一个DVI-D视频电缆,它运行良好。我只想知道为什么在安装Ubuntu时VGA电缆不能工作?
如何用VHDL绘制圆圈?有
母猪,我需要画红色圆~ 100 px半径。我想我应该用一些向量,但是怎么用呢?
entity VGAFrameTest is
port( yrow, xcolumn : in unsigned(9 downto 0); -- row and column number of VGA video
VGA_CLK : in std_logic; -- pixel clock
VGA_R, VGA_G, VGA_B: out std_logic_vector(9 downto 0)); -- color
delimiter $$
create procedure getcstatus(vuser_name varchar(20))
begin
select
a.c_id, a.c_name,
vga.* from a_c a
inner join v_getalla vga on a.a_id=vga.a_id
where a.c_name=vuser_name
group by vga.a_id, vga.a_name, vga.c_name, vga.s_f_id, vga.s_id, s_name, vga.developer_tool_filter_id;
end$$
delim
我试着用这个VHDL在屏幕上建立一个网格。我现在可以做两行了,但是当我刷新屏幕时,线条就会移动。我不知道错误在哪里,有人能帮上忙或提供指点吗?
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_unsigned.all;
--use IEEE.std_logic_arith.all; --VVVVVVV
use IEEE.NUMERIC_STD.all; --^^^^^^^
entity SCRN is
port(
clk
我开始安装nvidia-当前和nvidia-质数(我不知道这是什么。我在网上读过它,somewhere.Please告诉我它是什么:( )并输入
sudo prime-select nvidia
它给了我这个
Error: alternatives are not set up properly
Error: nvidia mode can't be enabled
我在谷歌上搜索了这个错误,并根据迈克尔的建议这里输入了这个错误(同样,我对此一无所知)。
root@PapaGolf:~# sudo update-alternatives --config x86_64-linux-gn