首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

第15讲 for循环优化:基本性能指标

在算法建模时,for循环经常被用到(能用for循环就不要用while循环,因为for循环会让代码更紧凑)。因此,Vivado HLS提供了针对for循环的多种优化方法,例如,loop pipelining(for循环流水),loop merge(合并for循环), loop dataflow(设置数据流),unroll(展开for循环),loop parallelism(循环的并行性)等,但更重要的是遵循指定的代码风格,否则这些优化方法将无法使用。例如,如果for循环的边界是个变量而非固定常数,那么将无法使用unroll优化方法。从这个角度而言,最好在算法建模前了解这些基本的代码风格。这些代码风格可在Vivado HLS中看到。具体操作如下:打开Vivado HLS,点击Open Example Project,点击Coding Style Examples,即可看到以loop开头的目录,创建工程即可进一步了解,如下图所示。

03
领券