首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

STA学习记录2-时钟定义

**边沿时刻从0时刻之后的第一个上升沿开始,然后依次是下降沿、上升沿、下降沿……-waveform {time\_rise time\_fall time\_rise time\_fall ...}在-...waveform中需要指定偶数个边沿,并且-waveform指定的是一个周期内的波形,在后续周期中不断重复如果没有指定-waveform,默认是-waveform {0, period/2}下面看一个不使用...create\_clock -name BDYCLK \ -period 15 \ -waveform {5 12} \ [get\_ports GBLCLK]其对应的波形图如下:图片在这个例子中,根据...-waveform可以知道,第一个上升沿出现在5ns,第一下降沿出现在12ns因为选项-waveform给出的上升沿和下降沿时刻会在每个cycle里重复,又因为-period指定周期是15ns,所以在第二个...要从上升沿开始根据下面的例子,再次理解一下选项-waveform #Figure (a) create\_clock -period 1.0 \ -waveform {0.5 1.375} \ [get

61900

Modelsim的仿真之路(结束篇之波形比较)

比较差异 在工具栏找比较的向导,Tools > Waveform Compare > Comparison Wizard 出现的界面选好参考源,默认采用当前仿真的测试源进行比较,也可以自行指定测试源;...界面是空的,需要将compare界面的test_sm拖到List中显示 拖动滚轴,差异的地方会是高亮黄色,点对应的变量,相应列会高亮绿色; 保存结果 可以将比较的结果以报告的形式保存,Tools > Waveform...Compare > Difference > Save ,默认以compare.dif的文件存于当前运行路径下 再保存对应的比较规则,Tools > Waveform Compare > Rules...> Save ,默认以compare.rul的文件存于当前运行路径下 然后就可以关闭比较界面,Tools > Waveform Compare > End Comparison ,准备重载结果查看下操作了...重载结果 此时的gold源是已经关掉了,因而重载前需要再将该文件打开 接着就可以正式重载结果了,Tools > Waveform Compare > Reload 默认已经填好了上边存好的两个文件

57321
领券