前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >正弦函数仿真

正弦函数仿真

作者头像
瓜大三哥
发布2018-02-26 10:43:28
7880
发布2018-02-26 10:43:28
举报
文章被收录于专栏:瓜大三哥瓜大三哥
  1. 使用matlab产生一个sin函数值,然后存放在rom中
代码语言:js
复制
t=[0:0.1:90];
x=pi*t/180;
sin_vale=sin(x);
fid=fopen('sin.mif','wt');
fprintf(fid,'width=14;\n');
fprintf(fid,'depth=1024;\n');
fprintf(fid,'address_radix=uns;\n');
fprintf(fid,'data_radix=dec;\n');
fprintf(fid,'content begin\n');
for j=1:901
    i=j-1;
    k=round(sin_vale(j)*16384);
    if(k==16384)
        k=16383;
    end
    fprintf(fid,'%d,\n',k);
end
fprintf(fid,'end;\n');
fclose(fid);

2.对rom进行仿真

代码语言:js
复制
`timescale 1ns / 1ps
module sin;
 parameter DW=15;
 parameter AW=10;
 parameter ADDR_MAX=900;
 parameter const_half_pi = ADDR_MAX - 1; //90°
 parameter const_pi = ADDR_MAX*2 - 1; //180°
 parameter const_double_pi = ADDR_MAX*4 - 1; //360°
 reg [AW+2-1:0] address_tmp;
 reg [AW-1:0] address;
 reg clk;
 wire [DW-1:0] q_tmp;
 reg [DW+1-1:0] q_tmp1;
 wire [DW-1:0] q;
 initial
 begin
 clk<=0;
 address_tmp<= {AW+2{1'b0}};
 end
 blk_mem_gen_0 sin_test (
   .clka(clk),    // input wire clka
   .addra(address),  // input wire [9 : 0] addra
   .douta(q_tmp)  // output wire [14 : 0] douta
 );
 always #10 clk = ~clk;
 always @(posedge clk)
 if(address_tmp == const_double_pi)
 begin
 address_tmp <= {AW+2{1'b0}};
 address <= {AW{1'b0}};
 end
 else
 begin
 address_tmp<=address_tmp+1'b1;
 if(address_tmp<=const_half_pi)
 address <= address_tmp[AW-1:0];  //the first quadrand
 else if(address_tmp <= const_pi)  //the second quadrand
 address <= const_pi - address_tmp;
 else if(address_tmp <= (const_pi+const_half_pi))//the third quadrand
 address <= address_tmp-const_pi;
 else
 address <= const_double_pi-address_tmp;
 end
 always @(posedge clk)
 begin
 if(address_tmp<=const_pi)
 q_tmp1<={1'b0,q_tmp};
 else 
 q_tmp1<={DW+1{1'b0}}-{1'b0,q_tmp};
 end
 assign q = q_tmp1[DW-1:0];
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2017-08-26,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档