首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >4x4查找表乘法器

4x4查找表乘法器

作者头像
瓜大三哥
发布2018-02-26 11:36:19
6670
发布2018-02-26 11:36:19
举报
文章被收录于专栏:瓜大三哥瓜大三哥
module mul_4x4(
 A,
 B,
 C
    );
 input [3:0] A;
 input [3:0] B;
 output [7:0] C;
 wire [7:0] shift0;
 wire [7:0] shift1;
 wire [7:0] shift2;
 wire [7:0] shift3;
 assign shift0 = A[0] ? {4'b0000,B}:{8'b0000_0000};
 assign shift1 = A[1] ? {3'b000,B,1'b0}:{8'b0000_0000};
 assign shift2 = A[2] ? {2'b00,B,2'b00}:{8'b0000_0000};
 assign shift3 = A[3] ? {1'b0,B,3'b000}:{8'b0000_0000};
 assign C = shift0 + shift1 + shift2 +shift3; 
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2017-09-18,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档