前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >图像分割(六)

图像分割(六)

作者头像
瓜大三哥
发布2018-02-26 11:40:19
5580
发布2018-02-26 11:40:19
举报
文章被收录于专栏:瓜大三哥瓜大三哥

图像分割(六)

之基于FPGA的局部自适应分割

子模块设计

顶层模块gauss_segment_2d

有了以上几个模块,顶层设计就十分简单了。需要例化一个均值求取模块mean_2d,求取当前窗口的均值,实时实例化一个窗口缓存模块win_buf。需要注意的是,均值求取模块需要一定的latency,需要将输入数据预期延迟对齐后再进行窗口缓存。Winbuf输出中心像素与均值进行差平方运算后,再乘以255运算计算不等式左边结果;输出其他像素分别与均值进行差平方运算,将计算结果送入例化的add_tree模块计算和,作为不等式右边结果,最后根据比较结果完成图像分割。计算框图如下:

Mean_2d:求均值模块

Delay for mean latency:由于均值计算有延时,输入需要通过移位寄存器进行延时来与均值结果进行时序对齐

Minus and square:均值与输入进行减法后开方运算

Din_reg:窗口中间像素,即当前操作像素,将该像素与均值进行minus and square运算后乘以255作为不等式左边表达式

Win_buf:将输入延时后做并行处理,得到一个并行的窗口向量

Add_tree:递归加法运算,作为不等式右边表达式

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2017-09-30,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 图像分割(六)
  • 之基于FPGA的局部自适应分割
  • 子模块设计
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档