前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >UVM(八)之transaction及field_automation 续

UVM(八)之transaction及field_automation 续

作者头像
瓜大三哥
发布2018-02-26 14:50:48
1.6K0
发布2018-02-26 14:50:48
举报
文章被收录于专栏:瓜大三哥瓜大三哥

UVM(八)之transaction及field_automation

field_automation机制的使用

UVM中使用field_automation机制来完成这些事情。

加入了uvm_objection_utils_begin(mac_transaction)这样一句,这句话跟我们前面提到的factory机制时提到的uvm_objection_utils非常相似。事实上,这其实就是factory机制的实现。field_automation机制并不能单独使用宏来实现。

uvm_field_array_A表示的是动态数组,A表示动态数字中存放的内容的类型。

uvm_field_*系列宏具体都做了什么呢?

如上一节讲过的print和compare函数,现在,就可以不用写任何代码就可以调用print了:

transaction使用的一些技巧

1.“尽量做到”

2.在uvm_field_*宏前后使用if语句

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2017-10-21,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档